基于FPGA的高速数字相关器设计-设计应用_第1页
基于FPGA的高速数字相关器设计-设计应用_第2页
基于FPGA的高速数字相关器设计-设计应用_第3页
基于FPGA的高速数字相关器设计-设计应用_第4页
基于FPGA的高速数字相关器设计-设计应用_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

精品文档-下载后可编辑基于FPGA的高速数字相关器设计-设计应用摘要:在数字通信的数据传输过程中,需要保持数据在传输过程中的同步,因此要在数据传输过程中插入帧同步字进行检测,从而有效避免发送数据和接收数据在传输过程中出现的异步问题。文中提出了一种采用流水线技术、基于FPGA设计高速数字相关器的方法。仿真结果表明设计方案是可行的。

在数字通信系统中,常用一个特定的序列作为数据开始的标志,称为帧同步字。在数字传输的过程中,发送端要在发送数据之前插入帧同步字。接收机需要在已解调的数据流中搜寻帧同步字,以确定帧的位置和帧定时信息。帧同步字一般为一系列连续的码元,在接收端需要对这一系列连续的码元进行检测,如果与预先确定的帧同步字吻合,则说明接收端与发送端的数据是保持同步的,开始接收,否则不能进行接收。完成帧同步字检测工作的正是数字相关器。本文采用流水线技术,研究了基于FPGA的高速数字相关器的设计方法,并给出了MAX+PLUSII环境下的仿真结果。

1数字相关器原理

数字相关器在通信信号处理中实质是起到数字匹配滤波器的作用,它可对特定码序列进行相关处理,从而完成信号的解码,恢复出传送的信息。其实现方法是进行两个数字信号之间的相关运算,即比较等长度的两个数字序列之间有多少位相同,多少位不同。昀基本的相关器是异或门,例如,y=a⊕b,当a=b时,y=0,表示两位数据相同;当a≠b时,y=1,表示两位数据不同。多位数字相关器可以由一位相关器级连构成。N位数字相关器的运算通常可以分解为以下两个步骤:对应位进行异或运算,得到N个1位相关运算结果;统计N位相关运算结果中0或1的数目,得到N位数字中相同位和不同位的数目。

当数字相关器接收到一组数据时,在时钟的上升沿对帧同步字进行检测。对于帧同步字是一个连续16位的码元,数字相关器在进行检测的过程中,只有当连续检测到16位的码元与预先设定的帧同步字完全相同时,才由输出端输出信号表示帧同步。否则,任何一位出现不相等,数字相关器又将重新开始进行检测,直到出现连续的16位码元与预先设定的16位码元完全相等时才进行输出。数字相关器的设计一般考虑采用串行输入或并行输入两种输入检测方式,由于串行输入是在16个时钟周期内依次对两路串行输入信号A、B进行异或运算,并统计数据位相同个数,检测速度较慢,要提高检测速度,就要考虑采用并行输入检测方式。

2高速数字相关器的FPGA实现

在16位并行数字相关器中,由于实现16位并行相关器需要的乘积项、或门过多,因此为降低耗用资源,可以分解为4个4位相关器,然后用两级加法器相加得到全部16位的相关结果,其结构图如图1所示,如果直接实现该电路,整个运算至少要经过三级门延时。随着相关数目的增加,速度还将进一步降低。为提高速度,采用“流水线技术”进行设计,模块中对每一步运算结果都进行锁存,按照时钟节拍逐级完成运算的全过程。虽然每组输入值需要经过三个节拍后才能得到运算结果,但是,每个节拍都有一组新值输入到级运算电路,每级运算电路上都有一组数据同时进行运算,所以总的来讲,每步运算花费的时间只有一个时钟周期,从而使系统工作速度基本等于时钟工作频率。

在使用VHDL进行高速数字相关器设计时,主要实现4位相关器和多位加法器模块的设计。其元件生成图分别是4位相关器模块XIANGGUAN4、3位加法器模块ADD3和4位加法器模块ADD4,其电路原理图如图2所示。其中4位相关器模块XIANGGUAN4的主要源代码为:

entityxiangguan4is

port(a,b:instd_logic_vector(3downto0);

sum:outstd_logic_vector(2downto0);

clk:instd_logic);

end;

architectureoneofxiangguan4is

signalab:std_logic_vector(3downto0);

begin

ab=axorb;--判断a,b是否相同

process(clk)

begin

ifclk'eventandclk='1'then

ifab="1111"thensum="000";--列出各种组合,输出相应相关值

elsifab="0111"orab="1011"orab="1101"orab="1110"thensum="001";

elsifab="0001"orab="0010"orab="0100"orab="1000"thensum="011";

elsifab="0000"thensum="100";

elsesum="010";

endif;

endif;

endprocess;

endone;

3仿真实验及结论

在MAX+PLUSII环境下,根据高速数字相关器的原理图进行设计,这里将时钟端gck设计为周期10ns的时钟。a[15..0]端为帧同步字设置端,将其设置为1、0交替码;b[15..0]端为码元输入端,输入连续的信号,其输入码元的周期也为10ns;c[4..0]作为记录信号,记录连续的输入码元与帧同步字相同的个数。然后对原理图进行编译、仿真,得到仿真波形如图3所示。可以看出,从连续的16个码元输入到同步检测输出经过3个时钟周期,输出端c[4..0]表示了连续的输入码元b与帧同步字a相同的个数,当c[4..0]为16时,表示a和b实现了同步。在对高速数字相关器进行编译、仿真成功后,对引脚进行锁定并将编程文件到ALTERA公司ACE1K系列芯片EP1K30QC208进行实验验证,实验结果正确,表明设计是可行的。

4结束语

数字相关器实现了数字通信过程中帧同步字的检测,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论