电子行业深度:半导体设备 材料:国产加速_第1页
电子行业深度:半导体设备 材料:国产加速_第2页
电子行业深度:半导体设备 材料:国产加速_第3页
电子行业深度:半导体设备 材料:国产加速_第4页
电子行业深度:半导体设备 材料:国产加速_第5页
已阅读5页,还剩188页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

请仔细阅读本报告末页声明证券研究报告|行业深度全球领先的晶圆代工厂将在2021~2023年之间进行大规模半导体设备投资。根据ICInsights,全球代工厂资本开支约占半导体总体的35%,头部代工厂2022年资本开支规划进一步提升。台积电2021年CapEx300亿美金(用于NNN80%),预计2022年将提升至400-440亿美金;联电Ex40亿美金;中芯国际2021年资本开支维持高位,达到45亿美金(大部分用于。2021年全球半导体设备市场规模创1026亿美元新高,大陆首次占比全球第国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次排市场全全球设备五强占市场主导角色,在手订单饱满,供应链限制延续。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强美元,占全球市场约77%。海外龙头一季度受供应链、零部件等影响交期延2022Q1设备收入、利润快速增长,国产替代持续深化。北方华创产品布局广半导体材料供应受限,国产替代进程加快。2021年全球半导体材料市场规模创MP在的断供可能性,进一步推动国产材料需求及国产替代化进度。随着技术及工艺的推进以及中国电子产业链逐步的完善,在材料领域已经开始涌现出各类已经进(维持)行业走势64%48%32%16%0%-16%2020-062020-092021-01作者分析师郑震湘分析师佘凌星研究助理刘嘉元相关研究1、《电子:政策刺激助力需求反弹,供应链预期逐步年电子材料营收综合约为98亿元人民币,考虑到其他未收录的非上市公司及上市公司,乐观假设中国电子半导体材料营收规模150亿元(更多的为中低端产品,高端产品仍然在持续突破及替代),在当前643亿美元的全球市场之中也仅仅4%不到的替代率;在中国所需的产值约119亿美元的市场需求中,也仅占中国无论是在中国市场或者全球市场之中,均有着巨大的国重点推荐:设备:北方华创、芯源微、新益昌、华海清科、拓荆科技、华峰测彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、雅克科技、宏气体、晶瑞股份、南大光电。P.2请仔细阅读本报告末页声明一、半导体设备:大陆需求快速增长,国产替代加速 71.1全球设备市场创新高,受益于资本开支提升、制程节点进步 71.2前道设备占主要部分,测试需求高增速 141.3全球市场受海外厂商主导,前五大厂商市占率较高 161.4海外设备厂商在手订单饱满,供应链限制延续 171.5国内需求爆发,国产替代空间快速打开 262022Q1国产设备厂商营收持续高增 28二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小 32三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加 36 44五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用 51 八、化学机械抛光:全局纳米级平坦化 61晶圆厂持续扩产,材料拐点已至 689.1晶圆代工扩产拉动材料需求持续增长 689.2各类材料持续持续突破,业绩佐证国产替代正式开幕 71十、光刻胶:产品逐步突破,国产替代已开启 73 十二、硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升 85十三、电子特气:需求空间大,拉开进口替代序幕 94资龙头效应显著 100 图表1:全球半导体设备季度销售额(亿美元) 7图表2:全球半导体设备分地域季度销售额(亿美元) 7 图表5:北美半导体设备月销售额(亿美元) 8图表6:全球半导体月度销售额(亿美元) 8 图表8:各机构预测2022年全球半导体市场增速 9图表9:半导体设备市场增速周期性 9图表10:海外半导体设备龙头季度营收跟踪(亿美元) 10 图表12:全球半导体资本开支(亿美金) 11 图表15:2022年资本开支增速较快的厂商(百万美金) 11 图表17:七家晶圆代工企业资本开支(亿美元) 12 图表19:100K产能对应投资额要求(亿美元) 13 图表24:2013-2018年全球半导体设备按工艺流程划分(亿美元) 15P.3请仔细阅读本报告末页声明图表25:2020-2023年全球半导体设备按工艺流程划分(亿美元) 15图表26:全球半导体前道设备划分(百万美元) 15图表27:全球半导体测试设备划分(百万美元) 15图表28:泰瑞达分业务季度营收及公司市场看法(百万美元) 16 KLAC 22 图表43:KLA对于CY2022Q2业绩预告 24瑞达2022Q1收入情况 24 图表47:东京电子分业务情况 26图表48:国内晶圆厂投资规模(亿元)(2020~2022年为预测数据) 27 图表50:设备核心公司营业收入及归母净利润(亿元) 28 图表52:设备核心公司研发费用(亿元) 29 0 图表58:光刻机技术路径(2020年后为预测情况) 33 图表61:EUV目标市场范围(2020年后为预测情况) 34图表62:Foundry和DRAM精度仍会不断提升(2020年后为预测情况) 34 市场格局(百万美元) 35 图表76:刻蚀步骤逐渐增加(步骤数量) 39图表77:干法刻蚀市场(百万美元)(2021~2023年为预测数据) 40 务收入规模分别(百万美元) 40 P.4请仔细阅读本报告末页声明 lectricEtch 表83:北方华创刻蚀设备发展进程图 42表84:北方华创刻蚀产品介绍 43 图表86:薄膜设备分类 45 图表91:CVD和PVD技术比较 46图表92:CVD和PVD技术比较示意图 46图表93:全球沉积设备市场趋势(百万美元) 47图表94:2020年沉积设备市场结构(百万美元) 47 图表97:北方华创PVD机台eVictorAX30AlpadPVD 49图表98:北方华创LPCVD机台THEORISSN302D 49表99:拓荆科技主要产品情况 50 图表103:全球半导体清洗设备规模(2021-2024位预测数据) 52 图表106:区分过程控制(检测、测量)和ATE(测试)(2019年市场空间) 54 图表110:全球过程控制市场(百万美元) 56 图表112:过程控制细分市场(百万美元)(2021年为预测数据) 57 14:公司电子束检测设备eViewTM全自动晶圆缺陷复查设备 58 图表121:CMP平坦化效果图(CMOS结构剖面图) 62 CuCMP 63 图表129:2020年全球CMP设备竞争格局 65 7 图表136:2020及2021年分地区半导体材料市场营收(亿美元) 68P.5请仔细阅读本报告末页声明 图表143:半导体材料公司在电子材料业务领域营收情况(亿元) 71A材料公司在细分领域的进展及后续规划(研发费用为2021年数字,亿元) 72 图表148:2019-2022全球光刻胶产业市场规模(亿美元) 75 图表156:2019年krf光刻胶市场占比 77图表157:2019年arf光刻胶市场占比 77图表158:2019年g/i线光刻胶市场占比 78 图表160:TOK产品分类(按特征尺寸) 79 CuCMP 83图表171:全球CMP材料市场规模(百万美金) 84图表172:2021年全球CMP材料市场规模及占比(亿美金) 84 的12英寸硅片需求(千片/月) 86 图表178:全球8英寸晶圆下游产能需求预测(等效8寸片,千片/月) 87图表179:全球8英寸晶圆厂产能情况(千片/月) 87图表180:全球8英寸硅片季度出货预测(千片/月) 88片季度出货预测(千片/月) 88 图表191:全球硅片营收规模(亿美元,%) 92(百万平方英寸,%) 92P.6请仔细阅读本报告末页声明 3 图表198:中国台湾8”及以上12”(不含)以下硅片进口量(万片/月) 94图表199:我国电子特气市场规模(亿元) 95 5:全球各地区OLED产能占比情况及预测 97图表206:全球电子特气市场规模(亿美金) 97(百万美元) 97图表208:我国电子特气市场规模(亿元) 98图表209:我国电子气体市场格局(2020年) 98 P.7请仔细阅读本报告末页声明球设备市场创新高,受益于资本开支提升、制程节点进步高。大陆设备市场在2013年之前占全球比重为10%以内,2014~2017年提升至晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,预计将领跑全球,但大陆设备市场规模有望保持较高比重。图表1:全球半导体设备季度销售额(亿美元)0全球半导体设备销售额全球半导体设备销售额yoy大陆占比2016-032016-122017-092018-062019-032019-122020-092021-062022-03图表2:全球半导体设备分地域季度销售额(亿美元)0其他欧洲韩国中国台湾日本北美中国大陆P.8请仔细阅读本报告末页声明图表3:中国大陆半导体设备市场规模(亿美元)图表4:中国半导体设备市场维持高速增长0中国大陆半导体设备市场yoy200520072009201120132015201720192021资料来源:日本半导体制造装置协会,国盛证券研究所中国半导体设备增速全球半导体设备增速资料来源:日本半导体制造装置协会,国盛证券研究所气周期历史,我们认为北美半导体设备厂商月销售额对于全球半导体行业景气度分析具有重要意义,北美半导体设备销售额水平通常领先全球半导体销售额一个季度。2021场图表5:北美半导体设备月销售额(亿美元)图表6:全球半导体月度销售额(亿美元)50北美半导体设备制造商出货额yoy0%-40.0%2017-012017-092018-052019-012019-092020-052021-012021-09资料来源:SEMI,国盛证券研究所0全球半导体月度销售额yoy30%25%20%15%10%5%0%-5%-10%-15%-20%-25%2019-102020-092021-08资料来源:SEMI,国盛证券研究所P.9请仔细阅读本报告末页声明球半导体销售规模图表8:各机构预测2022年全球半导体市场增速00全球半导体销售规模(亿美金)yoy201120122013201420152016201720182019202020212022Q1资料来源:SIA,国盛证券研究所ductorIntelligenceGartner.4ICInsightsWSTS022.3IA8%0%2%4%6%8%10%12%14%16%资料来源:各机构官网,国盛证券研究所年,求,且这一势头一直延续到2018D订单。存储产能过剩一直持续到2019年上半年,同时上半年整体半导体行业景气度不佳,虽然下半年随着行业景气度恢复,以台积电为代表的晶圆厂陆续调高资本开支大幅影响,但存储行业资本支出修复、先进制程投资叠加数字化、5G带来的下游各领域强劲团等均预计2022年全球设备市场规模将进一步增长。图表9:半导体设备市场增速周期性资料来源:Wind,国盛证券研究所下游资本开支提升,半导体设备周期向上。伴随着下游资本开支提升,设备厂商营业收入增速从2019Q2触底后逐渐回暖。2020Q1由于疫情冲击,产品发货推迟,导致单QP.10P.1080%60%40%20% -20%2021Q2:晶圆制造设备龙头展望2022年需求强劲,核心设备在手订单超过一年。ASML单季度收入40亿欧元,新增83亿欧元订单(其中EUV为49亿欧元)。单季度H续增长。chQ图表10:海外半导体设备龙头季度营收跟踪(亿美元)图表11:海外半导体设备龙头季度营收同比增速跟踪KLACTEL-SemiconductorProductionEquipmentLRCXAMAT-SemiconduASML0Q12019Q32019Q12020Q32020Q12021Q32021Q12022资料来源:彭博,国盛证券研究所 AMAT-SemiconduSystems TEL-SemiconductorProductionEquipmentQ1Q3Q1Q3Q1Q3Q1Q3Q1201820182019201920202020202120212022资料来源:彭博,国盛证券研究所未来两年全球晶圆厂设备开支持续增长。2020年疫情带来的居家及远程办公带来笔电等消费电子需求激增作为本轮周期的催化剂,2020H2以车用芯片为代表的供应链开始剧。2022年以来,消费性电子、智能手机、PC等领域需求确有下滑,但更值得注意的物联网等需求大规模提升。行业非常好的机会,主要原因就是5G及高效能运算的普及,生活数字化转型,带来对车用(新车半导足够的库存,近年来硅含量提升与晶圆厂有限的产能扩充矛盾,叠加产业链转移带来的本需进步、硅含量提升是长期支撑半导体行业持续发展的最关键驱动力。P.11请P.11晶圆厂前道设备支出晶圆厂前道设备支出yoy%0正因如此,2020年开始全球领先的晶圆厂纷纷加速扩产提升资本开支,根据IC图表12:全球半导体资本开支(亿美金)图表13:全球晶圆厂前道设备支出(亿美金)0资本开支yoy20082010201220142016201820202022F资料来源:SEMI,国盛证券研究所资料来源:IC资料来源:SEMI,国盛证券研究所:全球半导体资本开支集中度持续提升图表15:2022年资本开支增速较快的厂商(百万美金)资料来源:ICInsights,国盛证券研究所资料来源:ICInsights,国盛证券研究所POP.12P.12图表16:2021年全球代工行业营收份额HHGroup,2%GF,6%Tower,1%Nexchip,1%SMIC,5%DBHiTeK,1%TSMC,53%TSMC,53%VIS,1%MCC资料来源:TrendForce,国盛证券研究所图表17:七家晶圆代工企业资本开支(亿美元)0201120122013201420152016201720182019202020212022E资料来源:彭博,各公司公告,国盛证券研究所存储厂商:储的投资集中在P3晶圆厂的投资建设,及华城、平泽和西安工厂的工艺转换,重点开发5nm以下先进工艺的制造能力。平泽P3晶圆厂系三星全球建设的园区最大的晶圆厂,用于生产存储和逻辑芯片,建成行晶圆代工;动全年位元的成长。但公司在22Q1法说的进行资本支出。将继续增加;P.13P.13Q资本开支46亿美金,并预计将在下半年加大资本支出力度。公司俄务和IDM业务的双重需求,以满足在24-25年放量的代工客户需求,公司预计造的需求增长,尽快满足包括CMD和其他业务在内的需求放量,预计2022年0➢德州仪器预计各系统中的半导体含量都将在未来五年中持续提升,公司将继续重点投资模拟和嵌入式产品,以工业汽车应用为重心,投资扩张12英寸晶圆厂,包括22年资本支出额将超过长期计划,主要为了保证晶圆供应,满足客户稳定的订单支nm投资在100亿美元,研发图表18:全球12英寸晶圆制程结构图表19:100K产能对应投资额要求(亿美元)资料来源:SUMCO(2021Q3),国盛证券研究所资料来源:SEMI,国盛证券研究所P.14请P.14要部分,测试需求高增速集成电路前道工艺对应设备资料来源:芯源微招股书,国盛证券研究所半导体测试设备应用环节:半导体封装流程资料来源:ASMPT,国盛证券研究所P.15请P.15,当制程到16/14nm时,设备投资:半导体制造领域典型资本开支分布资料来源:Gartner,国盛证券研究所图表24:2013-2018年全球半导体设备按工艺流程划分(亿美元)图表25:2020-2023年全球半导体设备按工艺流程划分(亿美元)0WaferFabEquipmentAssemblyEquipmentTestEquipment资料来源:Gartner,国盛证券研究所aferFabEquipmentTestEquipmentAssembly&PackagingaferFabEquipmentTestEquipmentAssembly&PackagingEquipment0资料来源:SEMI,国盛证券研究所备增速分别为15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR24%)图表26:全球半导体前道设备划分(百万美元)图表27:全球半导体测试设备划分(百万美元)资料来源:Gartner,国盛证券研究所资料来源:Gartner,国盛证券研究所P.16P.16图表28:泰瑞达分业务季度营收及公司市场看法(百万美元)资料来源:泰瑞达官网,国盛证券研究所市场受海外厂商主导,前五大厂商市占率较高全球设备五强占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强AMAT、LAM、TEL。另外,光刻机龙头ASML市占率图表29:AMAT、LAM、TEL主导大部分前道工艺资料来源:中微公司公告,国盛证券研究所P.17请P.17图表30:全球半导体设备厂商排名(亿美金)资料来源:各公司公告、国盛证券研究所方面遥遥领先;AMAT优势在产品线广,沉积(CVD、PVD)市占率高;LAM优势在刻图表31:五大设备厂商行业格局(百万美元)资料来源:彭博、公司公告、国盛证券研究所在手订单依旧强劲,供应链限制延续,设备大厂积极扩产。1)供给高度紧张:ASML22Q1营收yoy-19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材料、供应链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本ASML手订单约70亿欧元,提前量增加,由于系半导体等材料和零件短缺,交期延长。3)积极扩产:ASML预计瑞达预计2023研发费用1900亿日元,yoy+20.1%;资本开支750亿日元,yoy+31.1%,。P.18P.18Q1营收符合预期,快速出货模式下订单延迟确认。ASML22Q1营收为35亿欧元,达净利的下降主要系快速出货模式下部分订单确认收入时间延迟到22Q2。毛利率为图表32:ASML季度营收及其增速图表33:ASML季度利润率0总营收(亿美元)yoyqoq21Q121Q221Q321Q422Q1%%资料来源:彭博,国盛证券研究所)020Q120Q220Q320Q421Q121Q221Q321Q422Q1资料来源:彭博,国盛证券研究所P.19P.19图表34:2022Q1新增订单结构情况资料来源:ASML官网,国盛证券研究所图表35:ASML销售结构资料来源:ASML官网,国盛证券研究所如模拟电路、电源和传感器。预计逻辑相关收入将同比增长超过20%,存储相关P.20P.20➢产能规划(暂时):目前需求超过公司产能,ASML及供应商正计划积极增加产能。响,此外,公司还面临与供应相关的通胀压力、运输物流价格上升、原材料成本以及集成电路的成本压力。为更好应对供应链挑战,公司采取增加资源、与客户合作、新增额外零部件供应商等措施以提高供应链灵活性。公司22Q1营收40.60亿美元,同比预测。图表36:LamResearch季度营收及增速图表37:LamResearch季度利润率总营收(亿美元)yoyqoq21Q121Q221Q321Q422Q1%资料来源:彭博,国盛证券研究所毛利率(%)净利率(%)5020Q120Q220Q320Q421Q121Q221Q321Q422Q1资料来源:彭博,国盛证券研究所资时机有关。随着公司今年在领先和成熟节点设备投资方面的进展,预计这一领域将出公司的指引预期反映了对通货膨胀的成本环境的预期,以及对供应链执行的持续策略管能的挑战,但需求依然强劲。一季度结束后,公司二季度的订单积压不断增加,终端P.21P.21图表38:LamResearch2022Q1营收结构,积极筹资扩产年度业绩高增,订单、销售额、营收及净利润均创历史新高。2021财年公司收到订单主要是因为日本税负结转会计准则的调整和上一财年计提递延所得税资产的税收负担降于半导体等材料和零件的短缺,产品交货时间更长,公司的相关客户订单提前量增加。21Q4营业收入和税前收入也创下了披露季度财报以来的历史新高,主要受益于:客户提前订购测试设备量增加、设备种类增多,测试设备业务和系统级测试业务的增长驱动。图表39:爱德万业绩表现(十亿日元)P.22P.22毛利率改善,增加研发投入并筹备资金,为未来产能和业务扩张奠定基础。2021财年测试设备占所有高性能半导体的比例增加,增加了智能手机和数据中心相关设备的高端多方需求增加使公司对2022财年业绩持乐观态度,需求的增长主要受益于技术的增续高需求,公司预计纳米技术产品的销售额也将增加,这与测试设备业务和EUV光刻技术的日益普及密切相关。主要的困难仍然来自于采购半导体和其他零件,公司将与正KLA封装和汽车电子机遇公司FY22Q3营收22.89亿美元,yoy+26.88%,接近之前的业绩指引上限;净利润以来最高客户参与度。利用半导体工艺控制(SPC)和电子、封装、组件(EPC)的组合,公司加强在先进封装和汽车电子领域的开发。公司扩大产品组合,开发一套全面的产品和技术,包括用于先进封装市场的晶圆级封装、最终组装和测试产品,以及一套旨在帮助客户实现其零缺陷目标的检测系统和工艺工具。该产品组合包括零缺陷项目中的持续改进项目(CIP)设计的检查系统,如:在线模具筛选、电源设备可靠性、封装和图表40:KLAC营收及增速(亿美元,%,取自然年)50营业收入(亿美元)yoy(%)qoq(%)00%%2018Q12018Q32019Q12019Q32020Q12020Q32021Q12021Q32022Q1资料来源:Wind,国盛证券研究所分业务来看,半导体工艺控制及相关服务业务FY22Q3营收达到19.8亿美元,yoy+31%,qoq-4%,符合预期,其中63%营收来自于代工厂和逻辑客户,37%来自DRAMNAND艺业务实现营收PCBP.23P.23图表41:KLAC营收分业务拆分主要系长期服务协议的增长、产能利用率的提高以及传统节点服务的拓展等。图表42:KLAC营收分产品拆分逻辑预计约占半导体工艺控制系统收入的56%,存储预计约占44%,在存储中,P.24P.24图表43:KLA对于CY2022Q2业绩预告泰瑞达:汽车和闪存市场强劲tADASSoC测试减弱。图表44:泰瑞达2022Q1收入情况资料来源:泰瑞达官网,国盛证券研究所CP.25P.25FiEUWB图表45:泰瑞达2022Q1业绩分业务拆分资料来源:泰瑞达官网,国盛证券研究所主要得益于全球经济的逐步复苏、碳中和的逐步推广以及通信技术的不断发展带来的半营收为1.77万亿日元,yoy+47.6%,占比88.5%。归母净利4370.8亿日元,图表46:东京电子业绩情况资料来源:东京电子官网,国盛证券研究所P.26P.26FPD平板显示器生产设备:随着电视用大尺寸液晶面板的资本投资已基本走到尽头,图表47:东京电子分业务情况yoy。公司FY2023研发投入及资本开支规划较往年有大幅提升。国产替代空间快速打开国内晶圆厂投资进入高峰期。根据集微网统计,2020~2022年国内晶圆厂总投资金额分别约1500/1400/1200亿元,其中内资晶圆厂投资金额约1000/1200/1100亿元。2020~2022年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。P.27P.27图表48:国内晶圆厂投资规模(亿元)(2020~2022年为预测数据)资料来源:集微网、公司公告、国盛证券研究所设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求。制程越先进,设备投资额占比越高。设备投资一般占比70~80%,当制程到16/14nm时,设备投资占比达85%;7nm及以下占比将更高。光刻、刻蚀、沉积、过程控制、Dnm多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现国产替代,新签订单结构中前道产品占比大幅提升;华海清科CMP设备在逻辑芯片、Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美半导体单片清洗机在海力士、P.28P.28图表49:国产设备替代进程资料来源:公司公告,国盛证券研究所设备国产化率较低,国产厂商成长空间巨大。我国半导体设备市场仍非常依赖进口,司(北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电子、至纯科技,拓荆科技、华海清科及盛美上海由于2020年数据不完整未被算入)增长83.0%。设备行业持续处于高速增长,国产替代空间快速打开,国内核心设备公司图表50:设备核心公司营业收入及归母净利润(亿元)yoy%.7%归母净利润3yoy-42.1%89.5%资料来源:Wind,国盛证券研究所P.29P.298642020Q120Q220Q320Q421Q121Q221Q321Q422Q1:设备核心公司毛利率图表52:设备核心公司研发费用(亿元)研发费用 研发费用率%资料来源:Wind,国盛证券研究所资资料来源:Wind,国盛证券研究所:设备核心公司经营增速荆科技-15%昌%%精测电子%%资料来源:Wind,国盛证券研究所设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负P.30P.30图表54:设备核心公司预收账款/合同负债(亿元)荆科技59363昌精测电子94资料来源:Wind,国盛证券研究所设备核心公司合同负债占营收比0合同负债(亿元)合同负债占LTM营收比20Q120Q220Q320Q421Q121Q221Q321Q422Q1资料来源:Wind,国盛证券研究所花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设12寸晶圆厂,粤芯半导I寸晶圆产能将占全球约20%。大量晶圆厂的扩建、投产,将带动对上游半导体设备的P.31P.31国内晶圆厂投建扩产计划序号企业名称/项现有产能总目标是否新建 投产)注11中芯国际(北京)否2中芯北方12北京---否代工内资量产33是4中芯国际 (上海)上海-否代工内资量产55是6中芯国际 (深圳)深圳-是代工内资在建775否8合肥晶和集成合肥43是代工内资量产99是10士兰微厦门12厦门---是IDM内资量产是12积塔12上海000.5是代工内资在建46是14长鑫存储12合肥4.53.530是IDM内资量产福建晋华是16华虹无锡12无锡224是代工内资量产0否18华力微二期12上海2.514.5是代工内资量产杭州积海州002是20杭州富芯12杭州003是IDM内资在建是22上海格科微12上海002是IDM内资在建中芯国际(上海)8否中芯国际 (天津)8天津-否代工内资量产中芯国际(深圳)8否积塔(原上82.803否代工内资量产811是28中芯绍兴8绍兴---是代工内资量产8州是30华润微电子8重庆---否IDM内资量产85是32华润微电子8无锡---否代工内资量产P.32P.328否34华虹宏力8上海---否代工内资量产8否中车时代电气8株洲-是IDM内资量产804是38济南富元8济南003是IDM内资在建8州001是40赛微8北京103是代工内资量产8是42比亚迪长沙8长沙002是IDM内资在建8102否扬州晶新微8扬州005是IDM内资在建8资料来源:集微网、国盛证券研究所二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上的过程。光刻胶(正胶)米数量级上,套刻误差在特征尺寸10%;(3)产率:对给定掩膜板,每小时能曝光的P.33P.33:光刻机技术特点图表58:光刻机技术路径(2020年后为预测情况)资料来源:ASML,国盛证券研究所不同产品随着制程节点提升各类光刻技术对应层数的需求比例图表60:光刻机技术示意图资料来源:ASML,国盛证券研究所资料来源:维基百科,国盛证券研究所VP.34P.34图表61:EUV目标市场范围(2020年后为预测情况)图表62:Foundry和DRAM精度仍会不断提升(2020年后为预测情况)资料来源:ASML,国盛证券研究所资料来源:ASML,国盛证券研究所光刻机发展历史,两次技术分水岭奠定格局变化。2003~2004年为第一个分水岭:图表63:两次技术分水岭奠定光刻机格局资料来源:集微网,国盛电子整理,国盛证券研究所anonP.35P.35图表64:全球EUV光刻机出货量(台)资料来源:ASML,TSMC,Intel公告数据统计、国盛证券研究所图表65:全球光刻机市场格局(百万美元)资料来源:ASML,Nikon,Canon财报统计数据、国盛证券研究所五光刻机重大科技专项通过了国家科技部组织的验收。2009年交付首台先进封装光刻机预研、设计、制造以及市场投放等全过程。P.36P.36SSX600系列步进扫描投影光刻机采用四倍缩小倍率的投影物镜、工艺自适应调焦调平大规模工业生产。图表66:上海微电子600系列光刻机资料来源:上海微官网,国盛证券研究所图表67:上海微电子600系列光刻机主要技术参数资料来源:上海微官网,国盛证券研究所刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处板图形对应的图形。P.37P.37图表68:刻蚀的目的是把图形从光刻胶转移到待刻蚀的薄膜上资料来源:中微公司招股说明书、国盛证券研究所控制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除和残留物的清洗。干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等理反应、化学反应。刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比)、刻蚀坡面(各向异性、各向同性)图表69:刻蚀工艺分类资料来源:维基百科,国盛证券研究所P.38P.38图表70:不同刻蚀设备主要技术指标应用最广泛的刻蚀设备是ICP与CCP,技术发展方向是原子层刻蚀(ALE)。电容性等离子体刻蚀CCP:能量高、精度低,主要用于介质材料刻蚀(形成上层线路)——诸如逻辑芯片的栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻蚀等,以及3D闪存芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。件)——硅浅槽隔离(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像技术ALE:技术发展方向,能精确刻蚀到原子层(约0.4nm),具有超高刻蚀选择率。应用电容性等离子体刻蚀反应腔感性等离子体刻蚀反应腔P.39P.39图表73:刻蚀类别资料来源:维基百科,国盛证券研究所光刻技术中许多先进制程涉及多重图形技术。即使是EUV,波长为13.5nm,要实现:多重成像技术图表75:电感性等离子体刻蚀反应腔图表76:刻蚀步骤逐渐增加(步骤数量)资料来源:digitimes,国盛证券研究所P.40P.40um铜互连技术出现时(300mm时代),金属刻蚀比例下降,介质刻蚀(2)30nm之后,多重图像技术、软刻蚀应用的提升,硅刻蚀(ICP)的占比快速提升。骤增多,高深宽比刻蚀需求增多。的蚀设备市场约137亿美元,其中介质刻蚀(DielectricEtch)60亿美元,导体刻蚀图表77:干法刻蚀市场(百万美元)(2021~2023年为预测数据)图表78:刻蚀在晶圆设备市场比重提升资料来源:Gartner,国盛证券研究所资料来源:Gartner,国盛证券研究所刻蚀由海外龙头主导,国内公司保持快速增长。根据Gartner,全球刻蚀企业前三大分AMAT别为中微公司、北方华创、屹唐半导体。2021年国内的刻蚀龙头企业中微公司、北方全球前五大厂商。图表79:全球刻蚀业务收入规模分别(百万美元)资料来源:Gartner,国盛证券研究所P.41请P.41图表80:干法刻蚀市场份额资料来源:Gartner,国盛证券研究所等公司合计,在导体刻蚀合计市占率不超过20%。近两年,国内设备龙头厂商北方华创、中微公司该产品线放量加速,逐步提高半导体设备刻蚀供应链份额。图表81:ConductorEtch市场份额资料来源:Gartner,国盛证券研究所近40%的市场份额,两家厂商主导整个市场,寡占程度较强。全球介质刻蚀设备供应P.42P.42图表82:DielectricEtch市场份额资料来源:Gartner,国盛证券研究所北方华创是国内领先的半导体高端装备及一体化解决方案供应商。公司深耕于芯片制式解决方案的供应商。公司立足半导体装备、真空装备、新能源锂电装备及精密元器件户覆盖中芯国际、华虹、P标志着国产刻蚀机得到客户广泛认可。2017年公司8英寸铝金属刻蚀机进入国内主流代工厂生产线,独特的腔室结构和温度垄断8英寸刻蚀机的局面;同时公司推出12英寸TiN硬掩膜刻蚀机,可应用于28-14nm逻辑制程中。2016年自主研发的国内首台应用于14nm制程的ICP刻蚀机图表83:北方华创刻蚀设备发展进程图P.43P.43图表84:北方华创刻蚀产品介绍设备应用领域金属NMCM8英寸铝金属刻蚀机掩膜刻蚀机(TiNMetalkNMC2G12英寸刻蚀机硅刻蚀NMCC8英寸硅刻蚀机CRAHMNMCD12英寸硅刻蚀机力槽刻蚀化合蚀HSE离子刻蚀机系统O器件OBMDP30等离子去胶机明CP中微公司是国内领先、世界排名前列的半导体高端设备制造商。公司主营业务是刻蚀设备和MOCVD。刻蚀机用于半导体制程,客户涵盖台积电、中芯国际、海力士、华力P.44请P.44客户的重复订单。中微公司CCP刻蚀设备包括双反应台PrimoAD-RIE和单反应台的图表85:中微公司刻蚀产品线布局资料来源:公司公告、国盛证券研究所屹唐股份拥有干法刻蚀设备paradigmE系列,采用专有的法拉第屏蔽电感耦合等离子ICP偏置控制相结合,设备采取双晶圆反应腔、双反应腔产品平台设计,主存芯片制造中若干关键步骤的大规模量产。薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包物理气相沉积(PVD)、化学气相沉积(CVD)和外延等类别。分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用CVD,金属薄膜常用PVD(主要是溅ECDSODMOCVDEpitaxy膜设备整体中,P.45请P.45图表86:薄膜设备分类资料来源:Gartner,国盛证券研究所附、表面反应、表面脱附及薄膜成核生长。(1)微米时代,化学气相沉积多采用常压化学气相沉积(APCVD)设备,结构简单。(2)亚微米时代,低压化学气相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆能力。(3)90nm以后,等离子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用(4)45nm以后,高介电材料(Highk)和金属栅(MetalGate),引入原子层沉积(ALD)设备,膜层达到纳米级别。——(a)高介电材料(Highk)替代SiO2,用于图表87:典型CVD工艺流程资料来源:集微网,国盛证券研究所图表88:ALD示例资料来源:集微网,国盛证券研究所P.46P.46图表89:典型CVD工艺流程图表90:常见的薄膜材料制备工艺PVD射,实现原子从源物质到沉底材料表面的物质转压气体放电条件下,涂层物质源是固态物质,经过“蒸发或溅射”后,在零件表面生成的固态物质涂层。PVD具有成膜速率高、镀膜厚度及均匀性可控好、薄膜致密性好、粘结力强及纯净度高等优点。PVD可以分为真空蒸镀(VacuumEvaporator)和溅射(Sputtering)。PVD发展初溅射设备取代了蒸镀设备。图表91:CVD和PVD技术比较图表92:CVD和PVD技术比较示意图的主要国产厂商包括北方华创和沈阳拓荆。P.47请P.47图表93:全球沉积设备市场趋势(百万美元)资料来源:Gartner,国盛证券研究所图表94:2020年沉积设备市场结构(百万美元)资料来源:Gartner,国盛证券研究所CVD市场主要由海外龙头主导,国内北方华创、沈阳拓荆积极布局。根据Gartner数据,全球CVD市场前五大供应商包括AMAT(28%)、LamResearch(25%)、TELP.48P.48图表95:CVD市场份额资料来源:Gartner,国盛证券研究所图表96:PVD市场份额资料来源:Gartner,国盛证券研究所扩散炉、LPCVD、单片清洗机以及槽式清洗机等产品,覆盖刻蚀、薄膜、扩散、清洗四大工艺模块,为集成电路领域提供先进的工艺解决方案。由北方华创自主研发的面进入国际供应链体系。2017年公司紧随市场需求,更新设备工艺,推出适用于28-LPCVD设备在半导体薄膜淀积中应用最为广泛,具更低成本及更优性能。该工艺是通LPCVD设备有更低的综合成本、更高的产能和更好的薄膜性能。北方华创先后推出P.49P.49突破,下游导入持续取得新进展:区域处理:硬掩膜工艺就是采用选定的图像、图形或物体对待处理图像(全部或局➢铝衬垫(AlPad)PVD60-28nm导入客户,更先进制程支持加速验证。芯片器 ➢铜互联(CuBS)PVD已在客户获得放量订单。金属铜可以降低互连线电阻率,nmCuBS成装备研发及产业化”项目执行单位。根据招投标统计,公司铜互联PVD已经实现交付,意味着国产立式LPCVD设备在先进集成电路制造领域的应用拓展上实现重过在工艺循环周期内分步向真空腔内添加前驱体、实现对膜层厚度的精确控制,可图表97:北方华创PVD机台eVictorAX30AlpadPVD图表98:北方华创LPCVD机台THEORISSN302DP.50P.50D气相沉积设备)、ALD(原子层薄膜沉积设备)、SACVD(次常压化学气相沉积设备)公司半导体薄膜沉积设备技术指标已达到国际厂商设备水准。公司具体产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个系列。在PECVD设备领域,公司产品可以适配180-14nm逻辑芯片、19/17nmDRAM等工艺需求,能够兼容SiO2、SiN等多种反图表99:拓荆科技主要产品情况用领域研发/生产阶段薄膜。化应用化应用研发中成本优势。化应用备成功突破了存储芯片制造工艺的颗粒度、均匀性、应力及产能是四术挑战。可以沉积NOstack、ThickTEOS等介质材料薄化验证TFLITE沉积站的优化设计,具有高产能、高安全性优势。可以沉积化验证FTT薄膜均匀性和保形性,特别适合高深化应用ALDFT-300T(Thermal)系列设备主要应用于逻辑芯片28nm以下制程。FTT均匀性和纯度,薄膜内杂质含量少,刻蚀性研发中SH薄膜。化验证D化应用P.51请P.51化应用资料来源:拓荆科技公司官网,拓荆科技招股说明书,国盛证券研究所DEPI等设备的开发及工艺应用开发。项目由公司副总裁级主管人员牵头主持,其拥0:中微公司战略规划资料来源:中微公司公告,国盛证券研究所清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除,路制造工艺中的成膜前/成膜后清洗、等离子刻蚀后清洗、离子注入后清洗、化学机械。洗需要强力有效,还要减少对晶圆表面的损伤。P.52P.521:清洗原理2:清洗环节图表103:全球半导

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论