基于FGA的低频数字相位测量仪_第1页
基于FGA的低频数字相位测量仪_第2页
基于FGA的低频数字相位测量仪_第3页
基于FGA的低频数字相位测量仪_第4页
基于FGA的低频数字相位测量仪_第5页
已阅读5页,还剩102页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第15章低频数字相位测量仪的

设计与分析15.1系统设计要求15.2系统设计方案15.3主要VHDL源程序和汇编语言程序15.4系统仿真/硬件验证15.5设计技巧分析15.6系统扩展思路15.1系统设计要求设计并制作一个低频数字相位测量仪,其设计要求如下:(1)频率范围:20Hz~20kHz。(2)相位测量仪的输入阻抗≥100kΩ。(3)允许两路输入正弦信号峰-峰值可分别在1~5V范围内变化。(4)相位测量绝对误差≤2。(5)具有频率测量及数字显示功能。(6)相位差数字显示:相位读数为0~359.9,分辨力为0.1。15.2系统设计方案15.2.1总体设计方案根据系统的设计要求,本系统可分为三大基本组成部分:数据采集电路、数据运算控制电路和数据显示电路。考虑到FPGA/CPLD具有集成度高,I/O资源丰富,稳定可靠,可现场在线编程等优点,而单片机具有很好的人机接口和运算控制功能,本系统拟用FPGA/CPLD和单片机相结合,构成整个系统的测控主体。图15.1系统原理框图15.2.2信号整形电路的设计最简单的信号整形电路就是一个单门限电压比较器(如图15.2所示),当输入信号每通过一次零时触发器的输出就要产生一次突然的变化。当输入正弦波时,每过一次零,比较器的输出端将产生一次电压跳变,它的正负向幅度均受到供电电源的限制,因此输出电压波形是具有正负极性的方波,这样就完成了电压波形的整形工作。图15.2采用单门限触发器的整形电路为了避免过零点多次触发的现象,我们使用施密特触发器组成的整形电路。施密特触发器在单门限电压比较器的基础上引入了正反馈网络。由于正反馈的作用,它的门限电压随着输出电压Uo的变化而改变,因此提高了抗干扰能力。本系统中我们使用两个施密特触发器对两路信号进行整形,电路图如图15.3所示。图15.3采用施密特触发器的整形电路15.2.3FPGA数据采集电路的设计FPGA数据采集电路的功能就是实现将待测正弦信号的周期、相位差转变为19位的数字量。FPGA数据采集的硬件电路我们可采用FPGA下载板来实现,该下载板包含FPGA芯片、下载电路和配置存储器,其电路结构可参见对应的FPGA下载板说明书。本电路主要是进行FPGA的硬件描述语言(HDL)程序设计。根据系统的总体设计方案,FPGA数据采集电路的输入信号有:CLK——系统工作用时钟信号输入端;CLKAA,CLKBB——两路被测信号输入端;EN——单片机发出的传送数据使能信号,在EN的上升沿,FPGA向单片机传送数据;RSEL——单片机发出的传送数据类型信号,当RSEL=0时,FPGA向单片机传送被测信号频率数据,当RSEL=1时,FPGA向单片机传送被测信号相位差数据。FPGA数据采集电路的输出信号有:DATA[18..0]——FPGA到单片机的数据输出口,由输出控制信号EN和RSEL控制。本数字式相位测量仪的要求是测试并显示输入信号频率范围在20Hz~20kHz,测试并显示信号a、b的相位差,相位差的变化范围为0~359.9,相位差的显示分辨力为0.1°,要求测量相位的绝对误差≤2。由此可知:图15.4FPGA数据采集电路系统组成框图15.2.4单片机数据运算控制电路的设计单片机数据运算控制电路的功能就是负责读取FPGA/CPLD采集到的数据,并根据这些数据计算待测正弦信号的频率及两路同频正弦信号之间的相位差,同时通过功能键切换,显示出待测信号的频率和相位差。单片机数据运算控制电路的硬件可由单片机、晶振电路、按键及显示接口电路等组成。我们在设计中考虑到,单片机具有较强的运算能力和控制能力的特点,因此使用单片机的P0口,P2口及P1.0、P1.1、P1.2、P1.3接收FPGA送来的对应于正弦信号的周期、相位差的19位数据信号,P1口的P1.7、P1.6接入两个轻触按键,完成功能选择与设置。该电路的工作原理是,单片机通过向FPGA发送数据传送指令,使FPGA按照单片机的要求发送数据,同时通过使用单片机的串口,将待显示的数据信息送给数据显示电路显示。其原理图如图15.5所示。图15.5单片机系统原理图单片机数据运算控制电路的软件设计思路是,单片机不断地从FPGA读取信号的周期和a、b信号相位差所对应的时间差,读取数据后进行有关计算,并通过转换后,送出给显示模块,实现频率和相位差的显示。单片机主程序流程图如图15.6所示。单片机在获取FPGA的数据时,开始的是一般的读取指令MOV指令,分别从单片机的P0口、P2口、P1口的低3位读入数据,组合为一个19位的二进制数据,通过控制口线P1.3、P1.5控制FPGA释放数据。经过多次测试,采用这种方式获得了比较好的效果。单片机读取FPGA数据的程序流程图如图15.7所示。图15.6主程序流程图图15.7读FPGA数据程序流程图单片机从FPGA读取信息后,对信息进行计算,算出信号a的频率,其流程图如图15.8所示。由于a、b信号是两路频率相同、相位不同的正弦波信号,因此经过整形电路后形成频率相同,时间上不重合的两路信号,这样,FPGA可以计数出两路信号的时间差从而可以计算出a、b信号的相位差,其程序流程图如图15.9所示。图15.8计算a的频率程序流程图图15.9计算a、b相位差的程序流程图最后单片机需要将信号送到输出端显示出来,即单片机通过显示子程序将信息送到显示电路显示出来,程序流程图如图15.10所示。图15.10显示程序流程图15.2.5数据显示电路的设计整个系统硬件电路中,单片机MCU与FPGA进行数据交换占用了P0口、P1口和P3口,因此数据显示电路的设计采用静态显示的方式,显示电路由8个共阳极七段数码管和8片1位串入8位并出的74LS164芯片组成。这种显示方式不仅可以得到较为简单的硬件电路,而且可以得到稳定的数据输出;这种连接方式不仅占用单片机端口少,而且充分利用了单片机的资源,容易掌握其编码规律,简化了软件编程,在实验过程中,也体现出较高的可靠性。数据显示电路如图15.11所示。图15.11数据显示电路74LS164是一种8位高速串入/并出的移位寄存器,随着时钟信号的高低变化,串行数据通过一个2输入与门同步的送入,使用独立于时钟的主控复位端让寄存器的输出端变为低电平,并且采用肖特基钳位电路以达到高速运行的目的。并且还具有以下的特点:①典型的35MHz移位频率;②异步主控复位;③门控串行输入;④同步数据传输;⑤采用钳位二极管限制高速的终端;⑥静电放电值大于3500V。在本系统中,74LS164的连接方式为:74LS164的输出Q0~Q7分别接LED数码管的dp、g、f、e、d、c、b、a,并且Q7连接下一个74LS164的A、B端,时钟CLK连接单片机的TXD端,第一片芯片的AB端连接单片机的RXD端,74LS164芯片的主控复位端接高电平VCC。在这种状态下,数码管的编码如表15.1所示。表15.1数码管的编码表15.3主要VHDL源程序和汇编语言程序15.3.1FPGA的VHDL源程序清单--SZXWY﹒VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSZXWYISPORT(CLK:INSTD_LOGIC;CLKAA:INSTD_LOGIC;CLKBB:INSTD_LOGIC;EN,RSEL:INSTD_LOGIC;CLKAC,CLKBC:OUTSTD_LOGIC; --仿真观测输出点,调试好后应去掉,以下同CLKFC:OUTSTD_LOGIC; --仿真观测输出用DATAAC:OUTSTD_LOGIC_VECTOR(18DOWNTO0); --仿真观测输出用DATABC:OUTSTD_LOGIC_VECTOR(18DOWNTO0); --仿真观测输出用CLAC,CLBC:OUTSTD_LOGIC; --仿真观测输出用DAC:OUTSTD_LOGIC_VECTOR(18DOWNTO0); --仿真观测输出用CLRAC:OUTSTD_LOGIC;--仿真观测输出用ENAC:OUTSTD_LOGIC; --仿真观测输出用LOADAC:OUTSTD_LOGIC;--仿真观测输出用DATA:OUTSTD_LOGIC_VECTOR(18DOWNTO0));ENDENTITYSZXWY;ARCHITECTUREARTOFSZXWYISSIGNALCLKF:STD_LOGIC;SIGNALDATAA:STD_LOGIC_VECTOR(18DOWNTO0);SIGNALDATAB:STD_LOGIC_VECTOR(18DOWNTO0);SIGNALCLB:STD_LOGIC;SIGNALDA:STD_LOGIC_VECTOR(18DOWNTO0);SIGNALCLRA:STD_LOGIC;SIGNALENA:STD_LOGIC;SIGNALLOADA:STD_LOGIC;BEGIN--信号分频模块FPQ:BLOCKISBEGINPROCESS(CLK)ISVARIABLETEMP:INTEGERRANGE0TO4;VARIABLECL:STD_LOGIC;BEGINIFRISING_EDGE(CLK)THENIFTEMP=3THENTEMP:=0;CL:='1';ELSETEMP:=TEMP+1;CL:='0';ENDIF;ENDIF;CLKF<=CL;CLKFC<=CLKF; --仿真观测输出用ENDPROCESS;ENDBLOCKFPQ;--控制信号产生模块KZXH:BLOCKISSIGNALCLKA,CLKB:STD_LOGIC;SIGNALCLA:STD_LOGIC;BEGINCLKA<=NOTCLKAA;CLKB<=NOTCLKBB;CLKAC<=CLKA; --仿真观测输出用CLKBC<=CLKB; --仿真观测输出用PROCESS(CLKA)ISBEGINIFRISING_EDGE(CLKA)THENCLA<=NOTCLA;ENDIF;ENA<=CLA;LOADA<=NOTCLA;CLAC<=CLA; --仿真观测输出用ENAC<=ENA; --仿真观测输出用LOADAC<=LOADA; --仿真观测输出用ENDPROCESS;PROCESS(CLKB)ISBEGINIFRISING_EDGE(CLKB)THENCLB<=NOTCLB;ENDIF;CLBC<=CLB; --仿真观测输出用ENDPROCESS;PROCESS(CLKA,CLA)ISBEGINIFCLKA='0'ANDCLA='0'THENCLRA<='1';ELSECLRA<='0';ENDIF;CLRAC<=CLRA; --仿真观测输出用ENDPROCESS;ENDBLOCKKZXH;--时间检测模块SJJC:BLOCKISBEGINPROCESS(ENA,CLRA,CLKF)ISBEGINIFCLRA='1'THENDA<="0000000000000000000";ELSIFRISING_EDGE(CLKF)THENIFENA='1'THENDA<=DA+'1';ENDIF;ENDIF;DAC<=DA; --仿真观测输出用ENDPROCESS;ENDBLOCKSJJC;--数据锁存模块SJSC:BLOCKISBEGINPROCESS(CLB)IS --时间差数据进程BEGINIFCLB'EVENTANDCLB='0'THENDATAB<=DA;ENDIF;DATABC<=DATAB; --仿真观测输出用ENDPROCESS;PROCESS(LOADA)IS --提取周期数据进程BEGINIFRISING_EDGE(LOADA)THENDATAA<=DA;ENDIF;DATAAC<=DATAA; --仿真观测输出用ENDPROCESS;ENDBLOCKSJSC;--输出选择模块SCXZ:BLOCKISBEGINPROCESS(EN,RSEL)ISBEGINIFEN='1'THENCASERSELISWHEN'0'=>DATA<=DATAA;WHEN'1'=>DATA<=DATAB;WHENOTHERS=>NULL;ENDCASE;ENDIF;ENDPROCESS;ENDBLOCKSCXZ;ENDARCHITECTUREART;15.3.2单片机的汇编语言源程序清单;SZXWY.ASMDATAH EQU 40H ;周期的时间DATAL EQU 41HDATA2L EQU 42H ;时间差DATA2H EQU 43HDATA3 EQU 44HDATA33 EQU 45HAD0 EQU 30H ;除法占用 ;乘法占用AD1 EQU 31HAD2 EQU 32HAD3 EQU 33HAD4 EQU 34HAD5 EQU 35HAD6 EQU 36H

ADA EQU 4FHADB EQU 5FHADC EQU 4DHADE EQU 5DHDSEL BITP1.3FEN BITP1.5KEY1 BITP1.7KEY2 BITP1.6ALA BITP3.5DISPBITBIT2FH.0 ORG00H LJMP100H ORG100HMIAN: MOV2FH,#01HMIAN1: NOP LCALLDUSHUJU ;读FPGA数据 LCALLZHUNBEIZHOUQI;装入频率除法数据 CLR2FH.3 JNB2FH.3,DIVDD1 LJMPCHCHUDIVDD1: LCALLDIVD1 ;计算频率 MOV 6FH,4FH MOV 6EH,4EH MOV 6DH,4DH MOV 6CH,4CH MOV 35H,4FH MOV 34H,4EH MOV 33H,4DH MOV 32H,4DH LCALL BCDST ;二进制转换为BCD码MOV R0,#30H MOV R1,#3FH MOV R7,#04H MOV 30H,#0 MOV 31H,#0 MOV 32H,#0 MOV 33H,#0 MOV 34H,#0 MOV 35H,#0 MOV 36H,#0 LCALL BCD_2BCD MOV 70H,#18MOV 71H,30H MOV 72H,31H MOV 73H,32H MOV 74H,33H MOV 75H,34H MOV 76H,35HMIANWC: LCALLX3600 ;装入相位计算数据 LCALL MULNM MOV 4AH,5AH;将以X3600为初始地址单元的积送入除法缓冲区MOV 4BH,5BH MOV 4CH,5CH MOV 4DH,5DH MOV 4EH,5EH MOV 4FH,5FH MOV 5FH,DATAL ;装入被测周期时间 MOV 5EH,DATAH MOV 5DH,DATA3 LCALL DIVD1 ;得到相位差值 MOV 35H,4FH MOV 34H,4EHMOV 33H,4DH MOV 32H,#0 LCALL BCDST ;二进制转换为BCD码 MOV R0,#30H MOV R1,#3FH MOV R7,#04H MOV 30H,#0 MOV 31H,#0 MOV 32H,#0 MOV 33H,#0 MOV 34H,#0 MOV 35H,#0MOV 36H,#0 LCALLBCD_2BCD MOV 78H,#16 MOV 79H,30H MOV 7AH,31H MOV 7BH,32H MOV 7CH,33H MOV 7DH,34H MOV 7EH,35HCHCHU: LCALL DISPKEYCOD:JB P1.7,MIAN11 LCALL DELAY1 JNB P1.7,$ CPL 2FH.0 LCALL DELAY2MIAN11:LCALL DELAY1 LCALL DELAY1 LCALL DELAY1 LJMP MIAN1

PROCDUSHUJUDUSHUJU:CLRDSEL CLR FEN MOV A,P0 MOV DATAL,A MOV A,P2 MOV DATAH,A MOV A,P1 ANL A,#00000111B MOV DATA3,A SETB DSEL SETB FEN NOPCLR FEN MOV A,P0 MOV DATA2L,A MOV A,P2 MOV DATA2H,A MOV A,P1 ANL A,#00000111B MOV DATA33,A SETB FEN RET NOP ;PC值出错处理NOP;空操作 NOP;空操作 LJMP MIAN;重新复位起动 END

PROCZHUNBEIZHOUQIZHUNBEIZHOUQI:

;2NBYTE/NBYTE=NBYTE,HEREN=3IN31H;(4A,4B,4C,4D,4E,4FH)/(5D,5E,5FH)=(4D,4E,4FH)MOV 5FH,DATAL MOV 5EH,DATAH MOV 5DH,DATA3 MOV 4FH,#80H ;低位 MOV 4EH,#96H MOV 4DH,#98H MOV 4CH,#00H MOV 4BH,#0H MOV 4AH,#0H RET NOP ;PC值出错处理NOP;空操作 NOP ;空操作 LJMP MIAN ;重新复位起动 END

PROCMULNMMULNM: NOP;NBYTESXMBYTES=N+MBYTESHEREN=3;M=3 MOV 30H,#03H;(4D,4E,4FH)×(5D,5E,5FH)=(5A~5FH) MOV 31H,#03HMULTT:MOV A,AD0 MOV R3,AD1 MOV R2,A ADD A,R3 INC A MOV AD2,A MOV A,#ADB CLR C SUBB A,R3 MOV AD6,A MOV R1,A SUBB A,R2MOV AD5,A INC R2MULNMZ: MOV @R1,#00H DEC R1 DJNZ R2,MULNMZMULNMB: MOV R2,AD0 MOV R1,AD6 MOV R0,#ADA CLR 00HMULNML: MOV A,ADBJZ MULNMD MOV B,@R0 MUL AB ADD A,@R1 MOV @R1,A JNB 00H,MULNM1 INC BMULNM1: MOV A,B DEC R1 ADDC A,@R1 MOV @R1,A MOV 00H,C DEC R0 DJNZ R2,MULNMLMULNMD: MOV R0,AD5 CLR A MOV R2,AD2MULNMS: XCH A,@R0 INC R0 DJNZ R2,MULNMS DJNZ R3,MULNMB RET NOP ;PC值出错处理NOP ;空操作 NOP ;空操作LJMP MIAN ;重新复位起动 END

PROCX3600;NBYTESXMBYTES=N+MBYTESHEREN=3;M=3;(4D,4E,4FH)*(5D,5E,5FH)=(5A--5FH)X3600: MOV 4DH,DATA33 MOV 4EH,DATA2HMOV 4FH,DATA2L MOV 5DH,#00H MOV 5EH,#0EH MOV 5FH,#10H RET NOP ;PC值出错处理 NOP ;空操作 NOP ;空操作 LJMP MIAN ;重新复位起动 END

PROCBCD_2BCDBCD_2BCD:NOPLOOP0:CLR A MOV A,@R1 MOV B,A ;SWAPA ANL A,#0FH MOV @R0,A INC R0 MOV A,B SWAP A ANL A,#0FHMOV @R0,A DEC R1 INC R0 DJNZ R7,LOOP0 RET NOP ;PC值出错处理 NOP ;空操作 NOP ;空操作 LJMP MIAN ;重新复位起动 END

PROC DISP;显示程序模块DISP: PUSH ACC PUSH PSW PUSH DPH PUSH DPL MOV A,76H CJNE A,#0,NOPB MOV 76H,#17 MOV A,75H CJNE A,#0,NOPB MOV 75H,#17MOV A,74H CJNE A,#0,NOPB MOV 74H,#17 MOV A,73H CJNE A,#0,NOPB MOV 73H,#17 MOV A,72H CJNE A,#0,NOPB MOV 72H,#17 MOV A,71H CJNE A,#0,NOPB MOV 71H,#0MOV A,70H CJNE A,#0,NOPB MOV 70H,#17

NOPB: MOV A,7EH CJNE A,#0,NOPB1 MOV 7EH,#17

MOV A,7DH CJNE A,#0,NOPB1 MOV 7DH,#17MOV A,7CH CJNE A,#0,NOPB1 MOV 7CH,#17

MOV A,7BH CJNE A,#0,NOPB1 MOV 7BH,#17NOPB1:MOVR1,#70H ;起始显示地址为 JNB 2FH.0,DISXW JMP DISPPDISXW: MOV R1,#78HDISPP: MOV R2,#7DISP1: MOV A,@R1 MOV DPTR,#TAB MOVC A,@A+DPTR JB 2FH.0,OKOK MOV B,A MOV A,R1 CJNE A,#7AH,NONO MOV A,B ANL A,#11110111B JMP OKOKNONO:MOVA,BOKOK:MOVSBUF,ADL1: JNB TI,DL1 CLR TI INC R1 DJNZ R2,DISP1 POP DPL POP DPH POP PSW POP ACC RET NOP ;PC值出错处理 NOP ;空操作 NOP ;空操作LJMP MIAN ;重新复位起动TAB: DB 88H,0EBH,04CH,049H,2BH ;自制的显示模块 DB 19H,18H,0CBH,08H,09H DB 0AH,38H,9CH,68H,1CH,1EH,00FH,0FFH,2AH ENDPROCDELAY1DELAY1:CLR ALA MOV R6,#64HDELAY11: MOV R7,#250 DJNZ R7,$ DJNZ R6,DELAY11 SETB ALA RET NOP ;PC值出错处理 NOP ;空操作NOP ;空操作 LJMP MIAN ;重新复位起动 END

PROCDELAY2DELAY2: MOV R6,#64HDELAY21: MOV R7,#250 DJNZ R7,$DJNZ R6,DELAY21 RET NOP ;PC值出错处理 NOP ;空操作 NOP ;空操作 LJMP MIAN ;重新复位起动 ENDPROCDIVD1DIVD1: NOP;;2NBYTE/NBYTE=NBYTE,HEREN=3IN31H;(4A,4B,4C,4D,4E,4FH)/(5D,5E,5FH)=(4D,4E,4FH)

MOV 30H,#06H ;被除数的字节数为6AD0=06H MOV 31H,#03H;除数的字节数DIVPP: MOV A,AD1 MOV R2,A ;R2=03H RL A RL A RL A MOV R3,A ;R3=18H=24D

CLR 0F0H ;B.0=0清标志位 CLR C ;C=0

MOV A,#ADA SUBB A,R2 MOV AD3,A ;AD3=4CH MOV R1,#ADBDIVMB2B: MOV A,@R1 JNZ DIVM2B DEC R1 DJNZ R2,DIVMB2B SETB 0F0H RETDIVM2B: MOV R2,AD1 MOV R1,#ADB MOV R0,AD3DIVM2L: MOV A,@R0 SUBB A,@R1 DEC R0 DEC R1 DJNZ R2,DIVM2L JNC DIVM20DIVM2D: LCALL SHIL1 JC DIVM2SDIVM2C: MOV R0,AD3 MOV R1,#ADB MOV R2,AD1DIVM2CL: MOV A,@R0 SUBB A,@R1 DEC R0 DEC R1 DJNZ R2,DIVM2CL JC DIVM2EDIVM2S: INC ADA MOV R2,AD1 MOV R0,AD3 MOV R1,#ADB LCALL SUBMBBDIVM2E: DJNZ R3,DIVM2D RETDIVM20: SETB 0F0H RET DB 02H,12H

SHIL1: MOV R2,AD0 MOV R0,#ADASHIL1B: CLR CSHILL: MOV A,@R0 RLC A MOV @R0,A DEC R0 DJNZ R2,SHILL RETSUBMB: MOV R2,AD0 ;NOSIGNNBYTESMINUSENBYTES MOV R0,#ADA ;(......4FH)-(......5FH)=(......4FH) MOV R1,#ADBSUBMBB: CLR CSUBMB1: MOV A,@R0 SUBB A,@R1 MOV @R0,ADEC R0 DEC R1 DJNZ R2,SUBMB1 RETNOP ;PC值出错处理 NOP ;空操作 NOP ;空操作 LJMP MIAN ;重新复位起动ENDPROCBCDSTBCDST: MOV R7,#32 CLR C MOV 3FH,#00H MOV 3EH,#00HMOV3DH,#00HMOV3CH,#00HMOV3BH,#00HMOV3AH,#00HKKK: MOV A,35HRLC AMOV 35H,A

MOV A,34HRLC AMOV 34H,A

MOV A,33H RLC A MOV 33H,AMOV A,32H RLC A MOV 32H,A

MOV A,3FH ADDC A,3FH DA A MOV 3FH,A

MOV A,3EH ADDC A,3EH DA A MOV 3EH,AMOV A,3DH ADDC A,3DH DA A MOV 3DH,A

MOV A,3CH ADDC A,3CH DA A MOV 3CH,A

MOV A,3BH ADDC A,3BH DA A MOV 3BH,AMOV A,3AH ADDC A,3AH DA A MOV 3AH,A DJNZ R7,KKK RET NOP ;PC值出错处理 NOP ;空操作 NOP ;空操作 LJMP MIAN ;重新复位起动 END

END15.4系统仿真/硬件验证15.4.1系统调试的方法本系统既含有FPGA自编程硬件设计电路,又含有单片机控制电路,整个系统比较复杂,因此我们采用自底向上的调试方法,也就是先进行各个单元电路的软件仿真和硬件调试,在各个单元电路调试好后再进行系统联调,最后进行硬件的编程固化及系统的组装。15.4.2系统调试的软/硬件(1)系统设计开发软件:MAX+plusⅡ10.0、伟福6000(WAVE6000forwindows)。(2)单片机及FPGA/CPLD调试设备:PIV计算机、伟福E6000L单片机仿真器及POD—8X5XP仿真头、GW48-CKEDA实验开发系统及EPF10K20TC144-4FPGA适配板、单片机最小系统、炜煌WH-500B程序编写加密器、GDS-820S数字存储示波器。

15.4.2系统的有关仿真图15.12是FPGA数据采集电路VHDL程序设计仿真图。请读者自己对照程序进行仿真分析。图1

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论