第四:组合逻辑电路_第1页
第四:组合逻辑电路_第2页
第四:组合逻辑电路_第3页
第四:组合逻辑电路_第4页
第四:组合逻辑电路_第5页
已阅读5页,还剩117页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第4章组合逻辑电路

概述组合逻辑电路中的竞争冒险数值比较器数据选择器译码器和数据分配器编码器组合逻辑电路的分析和设计本章小结加法器主要要求:

掌握组合逻辑电路和时序逻辑电路的概念。

掌握组合逻辑电路的特点,了解其描述方法。4.1

概述指任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路。

数字电路根据逻辑功能特点的不同分为组合逻辑电路时序逻辑电路指任一时刻的输出不仅取决于同一时刻输入信号的组合,而且与电路原有的状态有关的电路。一、组合逻辑电路的概念

组合逻辑电路的逻辑功能特点:没有存储和记忆功能。

组合电路的组成特点:

由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。组合电路的描述方法主要有逻辑表达式、真值表、卡诺图和逻辑图等。二、组合逻辑电路的特点与描述方法

主要要求:掌握组合逻辑电路分析方法与设计方法。4.2组合逻辑电路的分析和设计4.2.1组合逻辑电路的分析分析思路:基本步骤:根据给定逻辑电路,找出输出、输入间的逻辑关系,从而确定电路的逻辑功能。根据给定逻辑图写出输出逻辑式,并进行必要的化简。列真值表分析逻辑功能输出11001100YBA输入00111

00

111[例4.2.1]分析下图所示逻辑电路的功能。解:(1)写出输出逻辑函数式(3)分析逻辑功能(2)列逻辑函数真值表

通过分析真值表可知:当A、B输入的状态不同时,输出Y=1;当A、B输入的状态相同时,输出Y=0;因此,图示电路具有异或功能,为异或门。Y3ABYY1Y2Y2YY1Y3ABCYY1DY2[例4.2.2]分析下图所示电路的逻辑功能。解:(1)写出输出逻辑函数式YY1(3)分析逻辑功能

通过分析真值表可知:在A、B、C、D4个输入信号中,输入1的个数为偶数时,输出为1,否则输出为0。因此,电路为四位偶校验器。Y2Y1=AB+AB=A⊙BY2=CD+CD=C⊙DY=Y1⊙

Y2=A⊙B⊙C⊙D(2)列逻辑函数真值表1111111001011100110111110011010110110001011001001010000101011101000110100101001000101111100001010000110001110000YY2Y1DCBA11111111110011100010110010011000110100101011111001110000由Si表达式可知,当输入有奇数个1时,Si

=1,否则Si=0。[例]分析下图所示逻辑电路的逻辑功能。解:(2)列真值表(1)写出输出逻辑函数式AiBiCi-1CiSiAiBiCi-10100

0111

10

1

1

1

111110000由Ci-1表达式可画出其卡诺图为11101000列出真值表111011101001110010100000CiSiCi-1BiAi输出输入[例]分析下图电路的逻辑功能。解:(2)列真值表(1)写出输出逻辑函数式AiBiCi-1CiSi(3)分析逻辑功能将两个一位二进制数Ai、Bi

与低位来的进

位Ci-1相加,Si为本位和,Ci为向高位产生的

进位。实现这种功能的电路称为全加器。1111000011101000111011101001110010100000CiSiCi-1BiAi输出输入4.2.2组合逻辑电路的设计

设计思路:基本步骤:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0,何时取值1)

。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与-或式,然后根据题中对门电路类型的要求,将最简与-或式变换为要求门类型对应的最简式。

一、基本设计步骤设三个阀门为A、B、C,其开通时取值为1,关闭时取值为0;输出为Y,发出正常工作信号时为1,否则为0。由此得真值表如右。[例4.2.3]在三个阀门中,有两个或三个阀门开通时,才能输出正常工作信号;否则输出信号不正常,试设计一个能输出正常信号的逻辑电路。解:(1)分析设计要求,列出真值表

二、设计举例1.单输出组合逻辑电路的设计

1111110010100000YCBA输出输入10001100100111110(3)根据输出逻辑式画逻辑图YABC(2)化简输出函数,Y=AB+BC+ACABC0100

0111

10

1

1

1

1

0

0

0

0并求最简与非式=AB+BC+AC=AB·BC·AC方案一:用与门和或门实现(3)根据输出逻辑式画逻辑图YABC(2)化简输出函数,Y=AB+BC+ACABC0100

0111

10

1

1

1

1

0

0

0

0并求最简与非式=AB+BC+AC=AB·BC·AC方案二:用与非门实现(3)根据输出逻辑式画逻辑图YABC(2)采用圈0的方法求得与-或-非式和或非-或非式ABC0100

0111

10

1

1

1

1

0

0

0

0方案三:用与或非门实现(3)根据输出逻辑式画逻辑图YABC(2)采用圈0的方法求得与-或-非式和或非-或非式ABC0100

0111

10

1

1

1

1

0

0

0

0方案四:用或非门实现解:[例4.2.4]试设计一个将8421BCD码变换成余3BCD码的代码转换电路。(1)分析设计要求,列真值表。2.多输出组合逻辑电路的设计输入输出×××1111×××0111×××1011×××0011×××1101×××01010111001101000110111100010110001101011000101101100010010001010001000000Y2Y3A0A1A2A3Y0Y1××××××0101010101由题意可知该电路有4个输入端和4个输出端。输入为8421BCD码,用A3、A2、A1、A0表示,1010~1111六种组合不会出现,作任意项处理,这对获得最简输出逻辑函数是有利的。输出为余3BCD码,用Y3、Y2、Y1、Y0表示。由此可列出真值表。A3A2A1A00001111000011110m15

m9

m7

m6

m5

m4

m2

m0

1

1

10

1

0

0

×

×

1

×

×

×

×

0

0解:(2)根据真值表填卡诺图,求出最简输出函数。[例]试设计一个将8421BCD码变换成余3BCD码的代码转换电路。(1)分析设计要求,列真值表。2.多输出组合逻辑电路的设计输入输出×××1111×××0111×××1011×××0011×××1101×××01010111001101000110111100010110001101011000101101100010010001010001000000Y2Y3A0A1A2A3Y0Y1××××××0101010101A3A2A1A00001111000011110m15

m9

m7

m6

m5

m4

m2

m0

1

0

10

0

1

0

×

×

1

×

×

×

×

0

1解:(2)根据真值表填卡诺图,求出最简输出函数。[例]试设计一个将8421BCD码变换成余3BCD码的代码转换电路。(1)分析设计要求,列真值表。2.多输出组合逻辑电路的设计A1⊙A0输入输出×××1111×××0111×××1011×××0011×××1101×××01010111001101000110111100010110001101011000101101100010010001010001000000Y2Y3A0A1A2A3Y0Y1××××××0101010101A3A2A1A00001111000011110m15

m9

m7

m6

m5

m4

m2

m0

0

1

10

0

0

1

×

×

0

×

×

×

×

1

1解:(2)根据真值表填卡诺图,求出最简输出函数。[例]试设计一个将8421BCD码变换成余3BCD码的代码转换电路。(1)分析设计要求,列真值表。2.多输出组合逻辑电路的设计输入输出×××1111×××0111×××1011×××0011×××1101×××01010111001101000110111100010110001101011000101101100010010001010001000000Y2Y3A0A1A2A3Y0Y1××××××0101010101A3A2A1A00001111000011110m15

m9

m7

m6

m5

m4

m2

m0

0

0

01

1

1

1

×

×

1

×

×

×

×

0

0解:(2)根据真值表填卡诺图,求出最简输出函数。[例]试设计一个将8421BCD码变换成余3BCD码的代码转换电路。(1)分析设计要求,列真值表。2.多输出组合逻辑电路的设计输入输出×××1111×××0111×××1011×××0011×××1101×××01010111001101000110111100010110001101011000101101100010010001010001000000Y2Y3A0A1A2A3Y0Y1××××××0101010101解:(2)根据真值表填卡诺图,求出最简输出函数。[例]试设计一个将8421BCD码变换成余3BCD码的代码转换电路。(1)分析设计要求,列真值表。2.多输出组合逻辑电路的设计(3)画逻辑图。A1⊙A0主要要求:

掌握加法器的逻辑功能及应用。4.3

加法器HalfAdder,简称HA。它只将两个1位二进制数相加,而不考虑低位来的进位。1011010101100000CSBA输出输入ABSCCO∑4.3.1半加器和全加器

一、半加器1111110BA输入CS输出00解:(2)求最简输出函数式C

=A

B(3)画逻辑图0101011000[例]试用门电路设计半加器电路。将两个1位二进制数相加,而不考虑低位进位的运算电路,称为半加器。SCAB(1)分析设计要求,列真值表。BA输入CS输出相加的两个数本位和向高位的进位FullAdder,简称FA。能将本位的两个二进制数和邻低位来的进位数进行相加。1111110011101010100110110010100110000000CiSiCi-1BiAi输出输入AiBiSiCiCO∑CICi-1设在第i位两个二进制数相加。

二、全加器解:[例]试用门电路设计一个1位全加器电路。将两个多位二进制数相加时,除考虑本位两个二进制数相加外,还应考虑相邻低位来的进位数相加的运算电路,称为全加器。(1)分析设计要求,列真值表。1111110011101010100110110010100110000000CiSiCi-1BiAi输出输入(2)求最简输出函数式011110111101解:(2)求最简输出函数式(3)画逻辑图[例]试用门电路设计一个1位全加器电路。(1)分析设计要求,列真值表。SiCiAiBiCi-1实现多位二进制数加法运算的电路其低位进位输出端依次连至相邻高位的进位输入端,最低位进位输入端接地。因此,高位数的相加必须等到低位运算完成后才能进行,这种进位方式称为串行进位。运算速度较慢。其进位数直接由加数、被加数和最低位进位数形成。各位运算并行进行。运算速度快。串行进位加法器超前进位加法器4.3.2加法器串行进位加法器举例A3B3C3S3CO∑CIS2S1S0A2B2A1B1A0B0CO∑CICO∑CICO∑CICI加数A输入A3A2A1A0B3B2B1B0B3B2B1B0加数B输入低位的进位输出CO依次加到相邻高位的进位输入端CI。相加结果读数为

C3S3S2S1S0和数进位数超前进位加法器举例:CT74LS283A3A2A1A0B3B2B1B0CICOS3S2S1S0C3∑CT74LS283逻辑符号相加结果读数为C3S3S2S1S04位二进制加数B输入端4位二进制加数A输入端低位片进位输入端本位和输出端向高位片的进位输出[例4.3.3]试用4位加法器CT74LS283设计一个8421BCD码转换为余3BCD码输出的电路。由于余3BCD码为8421BCD码加0011,如取输入A3A2A1A0为8421BCD码,B3B2B1B0=0011,进位输入CI=0,输出S3S2S1S0为余3BCD码时,则余3BCD码为S3S2S1S0=8421BCD+0011解:余3码1A0A1A2A3B0B1B2B3CICO4S0S1S2S3C3∑8421BCD码转换为余3码的电路8421BCD码[例4.3.4]试分析如图所示电路的逻辑功能。设输入二进制数A=

A3A2A1A0、B=B3B2B1B0,输出和数S=S3S2S1S0。解:当进位输入M=0时,异或门输出和输入相同,为B,输出S=A+B+0=A+B,电路进行加法运算,这时C为进位输出;当进位输入M=1时,异或门输出和输入反相,为B(=B3B2B1B0),输出S=A+B+1=A+[B]补=A-B

,电路进行减法运算,这时C为借位输出如图所示为两片CT74LS283构成的8位二进制加法器。低位片CTLS283(1)没有进位输入信号,CI端接地,其进位输出端CO和高位片CTLS283(2)的进位输入端CI直接相连就可以了。主要要求:

理解编码的概念。

掌握常用编码器的类型、逻辑功能和使用方法。4.4

编码器

编码将具有特定意义的信息编成相应二进制代码的过程。实现编码功能的电路编码器二进制编码器二-十进制编码器

优先编码器

编码器(即Encoder)

被编信号二进制代码编码器编码器的概念与类型

4.4.1二进制编码器解:(1)分析设计要求,列出功能表。[例]设计一个能将I0、I1、…、I78个输入信号编成二进制代码输出的编码器。用与非门和非门实现。由题意可知,该编码器有8个输入信号,分别是I0、I1、…、I7

,有编码请求时,输入信号用1表示,没有时为0。根据2n≥N=8可求得输出n=3,为3位二进制代码,分别用Y0、Y1、Y2表示。将N=2n个输入信号转换成n位二进制代码的逻辑电路。

1111000000001101000000101001000000010001000011000001000010000001001000000001000000000001Y0Y1Y2I7I6I5I4I3I2I1I0输出输入(2)根据功能表写出输出逻辑函数表达式Y2=I4+I5+I6+I7Y0=I1+I3+I5+I7Y1=I2+I3+I6+I7Y2=I4·I5·I6·I7Y0=I1·I3·I5·I7Y1=I2·I3·I6·I7与非表达式(3)画逻辑图I1I2I3I4I5I6I7Y0Y1Y23位二进制编码器I0省略不画8个需要编码的输入信号输出

3

位二进制码4.4.2二-十进制编码器解:分析设计要求,列出功能表。[例]设计一个二–十进制编码器,它能将I0、I1、…、I910个输入信号编成8421BCD码输出。用与非门和非门实现。由题意可知,该编码器有I0、I1、…、I910个输入信号,有编码请求时,输入信号为1,没有时为0。根据2n≥N=10可求得输出n=4,故有4个输出端,分别用Y0、Y1、Y2、Y3表示。用4位二进制代码对0~9一位十进制数码进行编码的电路。10011000000000000101000000001110001000000001100001000000101000001000000010000001000011000000001000010000000001001000000000001000000000000001Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1I0输出输入I1I2I3I4I5I6I7Y0Y1Y2I8I9Y310线

–4线编码器(2)根据功能表写出输出逻辑函数表达式(3)画逻辑图Y2=I4+I5+I6+I7Y0=I1+I3+I5+I7+I9Y1=I2+I3+I6+I7Y3=I8+I9I0省略不画输出4位二进制代码与非表达式Y2=I4·I5·I6·I7Y0=I1·I3·I5·I7·I9Y1=I2·I3·I6·I7Y3=I8·I94.4.3优先编码器(即PriorityEncoder)

允许同时输入多个编码信号,并只对其中优先级别最高的信号进行编码输出的电路。普通编码器在任何时刻只允许一个输入信号请求编码,否则输出发生混乱。1111000000001101000000101001000000010001000011000001000010000001001000000001000000000001Y0Y1Y2I7I6I5I4I3I2I1I0输出输入8线–3线优先编码器CC74HC1481011111111110100111111110×10101111110××1000111110×××101101110××××10010110×××××1010010××××××100000×××××××011111111111111111××××××××YSYEXY0Y1Y2I7I6I5I4I3I2I1I0输出输入0000000001ST编码信号输入端使能输入端CC74HC148I0I7I6I5I4I3I2I1YEXYSY2Y0STY1扩展输出端输出选通端反码输出端8线–3线优先编码器CC74HC1481011111111110100111111110×10101111110××1000111110×××101101110××××10010110×××××1010010××××××100000×××××××011111111111111111××××××××YSYEXY0Y1Y2I7I6I5I4I3I2I1I0输出输入0000000001STCC74HC148I0I7I6I5I4I3I2I1YEXYSY2Y0STY1CC74HC148I0I7I6I5I4I3I2I1YEXYSY2Y0STY1ST=1,编码器不工作,Y2Y1Y0=111,YEX=1,YS=11111××××××××11

S

T=0,编码器工作,当输入均为1时,YEX=1、YS=0

I7=0时,不论其他Ii为0还是1,电路只对I7进行编码,输出Y2Y1Y0=000,为反码,其原码为111。100000×××××××0

I7=1,I6=0时,不论I0~I5为0还是1,电路只对I6进行编码,输出反码001。0010010××××××1依次类推8线–3线优先编码器CC74HC148CC74HC148I0I7I6I5I4I3I2I1YEXYSY2Y0STY1被编信号优先级别从高到低依次为

I7、I6、I5、I4、I3、

I2、I1、I0。CC74HC147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I910线–4线优先编码器CC74HC147反码输出被编信号输入(省略了I0),低电平有效。0111111111110101111111110×00111111110××1101111110×××010111110××××10011110×××××0001110××××××111010×××××××01100××××××××1111111111111Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1输出输入0111111111110101111111110×00111111110××1101111110×××010111110××××10011110×××××0001110××××××111010×××××××01100××××××××1111111111111Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1输出输入10线–4线优先编码器CC74HC147CC74HC147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I9

I9=1,I8=0时,不论I0~I7为0还是

1,电路只对I8进行编,输出反码0111。111010×××××××1111111111111无编码请求Y3Y2Y1Y0=1111。依次类推01100××××××××

I9=0时,不论其他Ii为0还是1,电路只对I9进行编码,输出Y3Y2Y1Y0=0110,为反码,其原码为1001。被编信号优先级别从高到低依次为

I9、I8、I7、I6、I5、

I4、I3、I2、I1、I0。10线–4线优先编码器CC74HC1470111111111110101111111110×00111111110××1101111110×××010111110××××10011110×××××0001110××××××111010×××××××01100××××××××1111111111111Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1输出输入111010×××××××111111111111101100××××××××CC74HC147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I94.5

译码器与数据分配器主要要求:

理解译码的概念。

掌握二进制译码器

CT74LS138

和CT74LS42的逻辑功能和使用方法。理解其他常用译码器的逻辑功能和使用方法。掌握用二进制译码器实现组合逻辑电路的方法。译码是编码的逆过程。

将具有特定意义的二进制代码转换成相应信号输出的过程。实现译码功能的电路。

译码器二进制译码器二-十进制译码器

显示译码器译码器(即Decoder)

二进制代码

与输入代码对应的特定信息

译码器译码的概念与类型

解:(1)分析设计要求,列出功能表。[例]设计一个3位二进制代码译码器。设输入3位二进制代码为A2、A1、A0。共有23=8种不同组合。因此,它有8个输出端,用Y0、Y1、…、Y7表示,输出高电平1有效。4.5.1二进制译码器

将输入二进制代码的各种组合按其原意转换成对应信号输出的逻辑电路。1110000010001100000010101000000010011000000011001000000010001000001000001000000000001000Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2输出输入1110000010001100000010101000000010011000000011001000000010001000001000001000000000001000Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2输出输入(2)根据译码器的功能表写出输出逻辑函数表达式(3)画逻辑图Y0=A2·A1·A0=m0Y1=A2·A1·A0=m1Y2=A2·A1·A0=m2Y3=A2·A1·A0=m3Y4=A2·A1·A0=m4Y5=A2·A1·A0=m5Y6=A2·A1·A0=m6Y7=A2·A1·A0=m7Y1Y2Y3Y4Y5Y6Y7A0A1A23位二进制译码器Y03线-8线译码器CT74LS138简介CT74LS138A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7逻辑功能示意图&EN

3位二进制码输入端8个译码输出端低电平有效。使能端STA高电平有效,

STB、STC低电平有效,即当STA=1,

STB=STC=0时译码,否则禁止译码。0111111111101101111110110111011111101011110111100101111101111100111111011010011111110110001111111100000111111111××××011111111×××1×Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2STB+STCSTA输出输入CT74LS138

功能表00000000000000001010101010101011001000011111111111111111允许译码器工作禁止译码

Y7~Y0由输入二进制码A2、A1、A0的取值决定。输出逻辑函数式Y0=A2A1A0=m0Y1=A2A1A0=m1Y2=A2A1A0=m2Y3=A2A1A0=m3Y4=A2A1A0=m4Y5=A2A1A0=m5Y6=A2A1A0=m6Y7=A2A1A0=m7Y0=A2A1A0=m0Y1=A2A1A0=m1二进制译码器能译出输入变量的全部取值组合,故又称变量译码器,也称全译码器。其输出端能提供输入变量的全部最小项。

将输入的10组4位二-

十进制代码翻译成0~9十个对应信号输出的逻辑电路。4.5.2二-十进制译码器

4线-10线译码器CT74LS42逻辑示意图Y1Y0Y3Y4Y2Y5Y6Y7Y8Y9A0A1A2CT74LS42A310个译码输出端,低电平0有效。8421BCD码输入端,从高位到低位依次为A3、A2、A1和A0。111111111111111111111111011111111111111011111111111100111111111111110111111111110101伪码011111111110019101111111100018110111111111107111011111101106111101111110105111110111100104111111011111003111111101101002111111110110001111111111000000Y9Y8Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2A3输出输入十进制数4线-10线译码器CT74LS42功能表00000000000000100010010001111001101010001011000100111111111111111111111111111111111111111111111111111111111111111101111011001111010101伪码01a数码显示器bcdefgYA0A1A2数码显示译码器译码器YYYYYY驱动器YYYYYYYA3bcdefgabcdefgaa数码显示器bcdefgYA0A1A2数码显示译码器译码器YYYYYY驱动器YYYYYYYA3bcdefgabcdefga010110014.5.3显示译码器

将输入的BCD码译成相应输出信号,以驱动显示器显示出相应数字的电路。输入BCD码输出驱动七段数码管显示相应数字显示译码器的结构和功能示意一、七段数码显示器数字设备中用得较多的为七段数码显示器,又称数码管。常用的有半导体数码显示器(LED)和液晶显示器(LCD)等。它们由七段可发光的字段组合而成。abcdefgDPagfCOMbcedCOMDPabcdefgDP发光字段,由管脚a~g电平控制是否发光。小数点,需要时才点亮。显示的数字形式1.七段半导体数码显示器(LED)

DPgfedcb半导体数码显示器共阳极接法aCOMCOMR共阳极接法数码显示器需要配用输出低电平有效的译码器。串接限流电阻

a~g和DP为低电平时才能点亮相应发光段。共阳极VCC+5VCOMDPgfedcba半导体数码显示器共阴极接法

COM共阴极接法数码显示器需要配用输出高电平有效的译码器。串接限流电阻

a~g和DP为高电平时才能点亮相应发光段。共阴极R

主要优点:字形清晰、工作电压低、体积小、可靠性高、响应速度快、寿命长和亮度高等。

主要缺点:工作电流大,每字段工作电流约10mA。共阳极接法

共阴极接法

半导体数码显示器内部接法COMCOMDPgfedcbaDPgfedcbaCOMCOMVCC+5V

RR共阳极共阴极2.七段液晶数码显示器(LCD)

液晶显示器的驱动电路及工作波形(a)电路;(b)工作波形外加固定频率的方波当输入A=0时,异或门输出和输入同相,uS=uI,液晶显示器两端的电压uL=0,液晶显示器不工作。2.七段液晶数码显示器(LCD)

液晶显示器的驱动电路及工作波形(a)电路;(b)工作波形当输入A=1时,异或门输出uS和输入uI反相,uL的幅度为两倍uI的对称方波,显示相应的字段,液晶显示器工作。4线–七段译码器/

驱动器CC74H4511的逻辑功能示意图CC74H4511BIA3A2A1A0BIYgYfYeYdYcYbYaLTLELT二、七段显示译码器

灯测试端,低电平有效。8421BCD码输入端。译码驱动输出端,高电平有效。1.驱动半导体数码显示器的译码器

消隐输入端,低电平有效。数据锁存端,高电平有效。4线–七段译码器/

驱动器CC74H4511的逻辑功能示意图CC74H4511BIA3A2A1A0BIYgYfYeYdYcYbYaLTLELT4线-七段译码器/驱动器CC74HC4511功能表81111111××××0不显示000000011111不显示000000001111不显示000000010111不显示000000000111不显示000000011011不显示0000000010119110011110011811111110001170000111111016111110001101511011011010141100110001013100111111001210110110100110000110100010011111100001YgYfYeYdYcYbYaA0A1A2A3LT显示数字输出输入×1111111111111111BI×0000000000000000LELE由0到1时,由BCD码决定,锁存××××1不显示0000000××××1101×111111111111000000111111111111111111110000000000译码器工作10010001111001101010001011000100100000001100111111111100001111111100110110111001101001111101101100001100111111输入8421BCD码9876543210agfbc伪码不显示不显示不显示不显示不显示不显示111101111011001111010101相应端口输出有效电平1,显示相应数字。4线–七段译码器/

驱动器CC74H4511的逻辑功能示意图CC74H4511BIA3A2A1A0BIYgYfYeYdYcYbYaLTLELT4线-七段译码器/驱动器CC74HC4511功能表81111111××××0不显示000000011111不显示000000001111不显示000000010111不显示000000000111不显示000000011011不显示0000000010119110011110011811111110001170000111111016111110001101511011011010141100110001013100111111001210110110100110000110100010011111100001YgYfYeYdYcYbYaA0A1A2A3LT显示数字输出输入×1111111111111111BI×0000000000000000LELE由0到1时,由BCD码决定,锁存××××1不显示0000000××××1101×111111111111000000111111111111111111110000000000译码器工作100100011110011010100010110001001000000011001111111111000011111111001101101110011010011111011011000011001111119876543210不显示不显示不显示不显示不显示不显示111101111011001111010101当LT=0时,数码管显示数字8,实现灯测试功能。当BI=0,LT=1时,数码管不显示,实现消隐功能锁存功能显示译码器与共阴接法数码显示器的连接图图中R为上拉电阻,很多译码器内部已经配置了这些电阻,如译码器内部没有,则需外接R图中要求译码器的每个输出端有较强的带灌电流负载的能力。显示译码器与共阳接法数码显示器的连接图CC14543的逻辑功能示意图CC14543BIA3A2A1A0YgYfYeYdYcYbYaMLD显示方式控制端译码驱动输出端,高电平有效。2.驱动液晶数码显示器的译码器

消隐输入端代码输入端数据锁存控制端CC14543的逻辑功能示意图CC14543BIA3A2A1A0YgYfYeYdYcYbYaMLDLD由1到0时,由BCD码决定,锁存4线-7段译码器CC14543功能表消隐00000001111﹡消隐00000000111﹡消隐00000001011﹡消隐00000000011﹡消隐00000001101﹡消隐00000000101﹡911101111001﹡811111110001﹡700001111110﹡611111010110﹡511011011010﹡411001100010﹡310011111100﹡210110110100﹡100001101000﹡001111110000﹡消隐0000000××××﹡YgYfYeYdYcYbYaA0A1A2A3M显示数字输出输入00000000000000001BI1111111111111111×LD××××﹡00消隐0000000××××锁存功能消隐功能译码器工作100000000000000001111111111111111当M=0时,译码器输出驱动共阴LED数码显示器;当M=1时,译码器输出驱动共阳LED数码显示器。当M端输入30~200Hz的方波时,用于驱动LCD数码显示器,这时将M端与LCD公共端相连。0CC14543和七段液晶显示器的连接图一、

用译码器设计组合逻辑电路

由于n个二进制译码器可提供2n个最小项的输出,而任一个逻辑函数都可变换为最小项之和的标准与–或式,因此利用译码器和门电路可实现单输出及多输出组合逻辑电路。当译码器输出低电平有效时,输出选用与非门综合;当译码器输出高电平有效时,选用或门综合。4.5.4译码器的应用解:(1)写出输出逻辑函数的最小项表达式[例4.5.2]试用3线-8线译码器和门电路设计一个组合逻辑电路,其输出逻辑函数表达式为Y(A,B,C)=∑m(0,1,3,6,7)设译码器输入代码变量为A2、A1、A0。Y(A,B,C)=m0+m1+m3+m6+m7(2)用输出高电平有效的译码器和或门实现。设A=A2、

B=A1、C=A0

,则函数式变换为Y(A,B,C)=Y0+Y1+Y3+Y6+Y7(3)用输出低电平有效的译码器和与非门实现。将函数式变换为7631mmmmm=0··Y··设A=A2、

B=A1、C=A0

,则函数式变换为7631YYYYY=0····Y[例4.5.3]试用3线–8线译码器CT74LS138和门电路设计一个多输出组合逻辑电路,其输出逻辑函数式为解:(1)写出输出逻辑函数的最小项表达式与非表达式Y2=m1·m3·m4·m7Y3=m0·m4·m6Y1=m1·m5·m7(2)将输出逻辑函数Y1、Y2、Y3和CT74LS138的输出表达式进行比较。设A=A2、B=A1、C=A0,因此,将函数式变换为(3)画逻辑图Y1Y0Y3Y4Y2Y5Y6Y71STASTBSTCAY1CA0A1A2CT74LS138Y3BY3&Y1&Y2Y2&CT74LS138(1)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7CT74LS138(2)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STCSTBSTAY8Y9Y10Y11Y12Y13Y14Y15A2A1A0EA31STA1低3位码从各译码器的码输入端输入。A3A2A1A0低位片高位片[例]两片CT74LS138组成的4线–16线译码器。16个译码输出端4位二进制码输入端A2A1A0A2A1A0A2A1A0STBA3STASTCSTCSTBE高位码A3与高位片STA端和低位片STB端相连,因此,A3=0时低位片工作,A3=1时高位片工作。

低位片STA应接有效电平1。作4线–16线译码器使能端,低电平有效。二、二进制译码器的扩展

CT74LS138组成的4线–16线译码器工作原理(1)A3=0

时,高位片不工作,低位片工作,译出与输入0000~0111分别对应的8个输出信号Y0~Y7。(2)A3=1

时,低位片不工作,高位片工作,译出与输入1000~1111分别对应的

8

个输出信号

Y8~

Y15。E=1时,两个译码器都不工作,输出Y0~Y15都为高电平1。E=0时,允许译码。CT74LS138(1)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STBSTAY0Y1Y2Y3Y4Y5Y6Y7CT74LS138(2)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7STBSTAY8Y9Y10Y11Y12Y13Y14Y15A2A1A0EA31低位片高位片A3A2A1A0A2A1A0A2A1A0A2A1A0STA1STBA3STASTCSTCSTBE数据分配器:根据地址信号的要求,将一路输入数据分配到指定输出通道上去的逻辑电路。Demultiplexer,简称DMUXY0DY1Y2Y34

路数据分配器工作示意图A1A0多路输出10Y1=D一路输入D地址码输入4.5.5数据分配器数据分配器举例CT74LS138A2A1A0A0A1A21DSTCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7输出原码接法如取STA=1、STC=0、STB=D,则输出为原码D。CT74LS138A2A1A0A0A1A2DSTCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7输出反码接法用3线–8线译码器CT74LS138构成的1路-8路数据分配器。A2~A0为地址信号输入端,Y0~Y7为数据输出端,三个使能STA、STB、STC中的任一个都可作数据D输入端。如取STB=STC=0、STA=D,则输出为反码D。主要要求:理解数据选择器的作用。掌握常用数据选择器的逻辑功能及其使用。掌握用数据选择器实现组合逻辑电路的方法。4.6

数据选择器

数据选择器的输入信号个数N与地址码个数n的关系为N=2n常用2选1、4选1、8选1和16选1等数据选择器。

D0YD1D2D34

1

数据选择器工作示意图A1A0数据选择器:根据地址信号的要求,从多路输入数据中选择其中一路输出的逻辑电路。又称多路选择器(Multiplexer,简称MUX)或多路开关。多路输入一路输出地址码输入10Y=D1D1数据选择器的作用

解:(1)分析设计要求,列出功能表[例4.6.1]试用与或门设计一个4选1数据选择器。具有使能控制端,控制信号为1时,不工作;控制信号为0时,处于工作状态。4.6.14选1数据选择器由题意可知,该数据选择器有4个数据输入端,一个输出端。数据输入端分别用D0、D1、D2、D3表示,有数据输入时,用1表示,任意值用×表示。地址输入端用A0和A1表示。使能控制端用

ST表示。××11011×1×1001××××××10××YD3D2D1D0A0A1ST输出输入×10001××××01011×(2)根据功能表写出输出逻辑函数表达式(3)画逻辑图Y=

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论