VHDL设计中信号与变量问题的分析_第1页
VHDL设计中信号与变量问题的分析_第2页
VHDL设计中信号与变量问题的分析_第3页
VHDL设计中信号与变量问题的分析_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、【Word版本下载可任意编辑】 VHDL设计中信号与变量问题的分析 摘 要:在设计过程中,如果信号和变量的定义不合适的话,设计结果完全不一样,因此在设计过程中需要慎重使用信号和变量。 在VHDL程序设计中,可以充分利用信号或变量的系统默认值,来灵活实现设计目标。本文从应用的角度举例说明了VHDL设计*号与变量的区别,以及正确的使用方法,并介绍了为信号或变量赋予初始值的技巧。 概述 随着集成电路技术的发展,用传统的方法开展芯片或系统设计已不能满足要求,迫切需要提高设计效率,因此能大大降低设计难度的VHDL设计方法被越来越广泛地采用。用VHDL语言设计系统的主要方法是:设计者根据VHDL的语法规则

2、,对系统目标的逻辑行为开展描述,然后通过综合工具开展电路构造的综合、编译、优化,通过仿真工具开展逻辑功能仿真和系统时延的仿真,把设计的程序到芯片中,成功地实现系统功能。 在VHDL设计中,常用的数据对象主要有三种:信号(signal)、变量(variable)和常数(constant)。信号是电子电路内部硬件连接的抽象。它除了没有数据流动方向说明以外,其他性质几乎和“端口”一样;信号是一个全局量,它可以用来开展进程之间的通信。变量只能在进程语句、函数语句和过程语句构造中使用,是一个局部量。 在VHDL语言中,对信号赋值是按仿真时间开展的,到了规定的仿真时间才开展赋值,而变量的赋值是立即发生的。

3、下面的例子是从赋初值的角度说明信号与变量的这种区别的。 例如用VHDL语言实现初值为A的十六进制的16个数的循环显示。 对于如此的设计要求,如果用变量实现,则VHDL程序如下。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sevenauto is port(clk:in std_logic; y:out std_logic_vector(6 downto 0); end sevenauto; architecture behave of sevenauto is begin

4、 process(clk) variable count:std_logic_vector(3 downto 0); variable init:std_logic; begin if (clkevent) and (clk=1) then if (init = 0) then count:= 1001; init:=1 end if; count:=count+1; case count is when 0000=yyyyyyyyyyyyyyyyy=XXXXXXX; end case; end if; end process; end behave; 在程序中,定义了变量count,希望初始

5、值为“1010”。通过实验发现,在定义变量或信号时直接赋予初始值不能生效(如variable count:std_logic_vector(3 downto 0) :=“1010”),它的初始值仍然是系统默认值(如count为“0000”)。正是利用这一点,通过init(初始值为0)来给count赋初值 A即“1010”,具体方法见程序中斜体部分。这样,在个脉冲来时执行斜体部分if语句,而第二个脉冲来时由于init不为0而是1,因此不执行该部分语句,从而实现为count赋初值的功能,这样程序从A开始开展数字的循环显示。 如果把count类型改为signal,则结果将大不一样。 signal c

6、ount: std_logic_vector(3 downto 0); process(clk) variable init :std_logic; begin if (clkevent) and (clk=1) then if (init = 0) then count= 1001; -(1) init := 1 end if; count=count+1; -(2) 由于信号的赋值不是立即发生的,在语句(1)后面还存在对信号count的赋值操作(2),因此,语句(1)在此不起作用,count的值是语句 (2)的值。因此如果将count设为signal的话,程序实现的是从0开始的16个十六进制数的循环。在这里,对信号赋初值的语句是不可行的。 仿真结果 将设计好的VHDL程序在Altera公司提供的软件maxplus10.1环境下开展编译仿真,得到的仿真结果如图1、图2所示,其中图1是 count为变量的结果,图2是count为信号的结果,其中输出y分别与七段数码管的abcdefg七段相连。 从图1可以看出,在个时钟脉冲上升沿,结果是“1110111”,数码管显示即为A,然后依次为b,C,d, E,F,0,1

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论