自适应数字频率计综述报告_第1页
自适应数字频率计综述报告_第2页
自适应数字频率计综述报告_第3页
自适应数字频率计综述报告_第4页
自适应数字频率计综述报告_第5页
已阅读5页,还剩46页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 信处112自适应数字频率计电子综合设计 PAGE 第PAGE 49页信处112自适应数字频率计电子综合设计电子技术综合设计自适应数字频率计设计组员姓名(学号):王薪博(2011021067)黄家棋(2011021066)钟雨晨(2011021068)专业:电子信息(信号处理)班级:信处112日期:2013年 12月 26日 诚信责任书本人郑重声明:本组所呈交的电子综合设计论文(设计),是在导师的指导下独立进行研究所完成。毕业论文(设计)中凡引用他人已经发表或未发表的成果、数据、观点等,均已明确注明出处。特此声明。论文(设计)作者签名: 王薪博 日 期: 2013.12.09 信处112自适应

2、数字频率计电子综合设计 目 录 TOC o 1-3 u 目 录 PAGEREF _Toc295207444 h I摘 要 PAGEREF _Toc295207445 h IIIAbstract PAGEREF _Toc295207447 h IV第一章背景和意义 PAGEREF _Toc295207449 h 11.1 频率计概述 PAGEREF _Toc295207450 h 11.2 频率计发展现状及研究概况 PAGEREF _Toc295207451 h 11.3 本课题研究背景及主要研究意义 PAGEREF _Toc295207452 h 21.4数字频率计的种类 PAGEREF _T

3、oc295207453 h 2第二章方案设计 PAGEREF _Toc295207454 h 42.1分频选择电路 PAGEREF _Toc295207455 h 42.2 单片机部分72.3 数据显示电路92.4 软件设计流程图14第三章频率测量原理173.1 测量频率的原理173.2 测量频率的方法18第四章详细设计194.1 功能实现194.2 硬件部分设计204.2.1单片机AT89C52204.2.2测量数据显示电路214.3 硬件电路工作过程214.3.1 直接测频法的工作流程21第五章 数字频率计的设计与仿真225.1电路的设计225.1.1电路设计的内容和方法235.1.2电路

4、设计的步骤245.2 数字频率计的仿真26第六章 减小误差措施及扩展方面316.1实际操作中遇到的问题326.1.1硬件上的问题326.1.2软件上的问题336.1.3软硬件连调上的问题336.2减小误差措施346.3功能上的完善346.3.1 增加键盘控制346.3.2 液晶显示器(LCD)进行数据的显示356.3.3 实时上位机画图显示35结 语36参考文献36致 谢38附 录401硬件设计原理图:412硬件设计PCB图:433 数字频率计测量频率程序:44基于单片机自适应数字频率计的设计与实现摘 要本组提出设计数字频率计的方案主要以单片机(STC89C52)为工作核心,以74LS393实

5、现分频(2到256分频),配合CD4051控制输入单片机位选,利用单片机的计数器和定时器的功能对被测信号进行计数,实现测量频率范围为1Hz-9999KHz的测量。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。核心是单片机,使用的元器件相对较少,原理电路简单,调试过程中只需改变程序的设定即可以实现不同频率范围的测试能自动选择测试量程的功能。关键词:数字频率计; 单片机AT89C52; 自适应频率测量第一章背景和意义1.1 频率计概述频率是电子学测量的一个基础参数,同时它在工程应用中也是一个非常重要的参数指标。因此,在电子测量领域中频率测量已经成为最重要的测量之

6、一。 伴随着技术的不断发展科学的不断提高,人们对产品的要求也会相应的水涨船高,数字化的电子产品越来越受到欢迎。频率计作为比较常用和实用的电子测量仪器,广泛应用于科研机构、学校、家庭等场合,因此它的重要性和普遍性勿庸质疑。数字频率计具有体积小、携带方便;功能完善、测量精度高等优点,因此在以后的时间里,必将有着更加广阔的发展空间和应用价值。比如:将数字频率计稍作改进,就可制成既可测频率,又能测周期、占空比、脉宽等功能的多用途数字测量仪器。将数字频率计和其他电子测量仪器结合起来,制成各种智能仪器仪表,应用于航空航天等科研场所,对各种频率参数进行计量;应用在高端电子产品上,对其中的频率参数进行测量;应

7、用在机械器件上,对机器振动产生的噪声频率进行监控;等等。研究数字频率计的设计和开发,有助于频率计功能的不断改进、性价比的提高和实用性的加强。以前的频率计大多采用TTL数字电路设计而成,其电路复杂、耗电多、体积大、成本高。随后大规模专用IC(集成电路)出现,如ICM7216,ICM7226频率计专用IC,使得频率计开发设计变得简单,但由于价格较高,因此利用IC设计数字频率计的较少。现在,单片机技术发展非常迅速,采用单片机来实现数字频率计的开发设计,实现频率的测量,不但测量准确,精度高,而且误差也很小。在这里,我们将介绍一种简单、实用的基于单片机AT89C52的数字频率计的设计和制作。 1.2 频

8、率计发展现状及研究概况由于当今社会的需要,对信息传输和处理的要求不断提高,对频率的测量的精度也需要更高更准确的时频基准和更精密的测量技术。而频率测量所能达到的精度,主要取决于作为标准频率源的精度以及所使用的测量设备和测量方法。目前,测量频频的方法有直接测频法、内插法、游标法、频差倍增法等等。直接测频的方法较简单,但精度不高。频差倍增多法和周期法是一种频差倍增法和差拍法相结合的测量方法,这种方法是将被测信号和参考信号经频差倍增使被测信号的相位起伏扩大,再通过混频器获得差拍信号,用电子计数器在低频下进行多周期测量,能在较少的倍增次数和同样的取样时间情况下,得到比测频法更高的系统分辨率和测量精度,但

9、是仍然存在着时标不稳而引入的误差和一定的触发误差。在电子系统广泛的应用领域中,到处看见处理离散信息的数字电路。供消费用的冰箱和电视、航空通讯系统、交通控制雷达系统、医院急救系统等在设计过程中都用到数字技术。 数字频率计是现代通信测量设备系统中必不可少的测量仪器,不但要求电路产生频率的准确度和稳定度都高的信号,也要能方便的改变频率。 数字频率计的实现方法主要有:直接式、锁相式、直接数字式和混合式(1)直接式优点:速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。 (2)锁相式优点:相位同步的自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。 (3)直接数字式优点

10、:电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。 1.3 本课题研究背景及主要研究意义 数字频率计是计算机、通讯设备、音频视频等科研生产领域必不可少的测量仪器,所以频率的测量就显得更为重要。在数字电路中,频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。本课题采用的是直接测频式的频率计,设计原理简单、电路稳定、测量精度高,大大的缩短了生产周期与研发周期。 .数字频率计的种类现在市面上通常使用的数字频率计主要有的计数器。此种数字频率计是较早时期的电子产品,到现在中小规模集成电路应用技术不断完善时,它的应用也不断得到加强。但很明显,此种

11、数字频率计已处于淘汰阶段,由于其自身不具备智能化、自动化,完全借助于机械示的操作,对一些智能的频率计功能已无法完成,所以,现在使用这种数字频率计的已经很少。(2)采用现场可编程门阵列(CPLD/FPGA)作为系统控制核心制成的数字频率计。它通过EDA技术和硬件描述语言(VHDL)对进行数字频率计的设计。这种技术是在近几年才发展起来的新技术,具有很大的发展空间和应用价值。(3)采用单片机为系统控制核心的数字频率计。这种数字频率计具有非常明显的优势:体积小,所用芯片少,精度高,测量范围广,易于扩展功能,智能化、自动化强度高,便于控制。因此采用单片机技术设计数字器件已逐渐成为主流。第二章方案设计本课

12、题设计的是一种以单片机为主控制的自适应频率计。可以实现自动判别输入周期信号(1-9999kHz)的特点。要求输入信号为方波,正向输入峰值为5V(实测为4.93V)。该频率计首先将不同频率范围的方波信号直接由接口电路送给单片机, 由单片机的计数器对其进行计数,最后通过显示电路显示数值。数字频率计主要由以下几部分组成:(1)分频电路;(2)频率测量电路;(3)显示电路。因为单片机内部振荡频率很高, 所以一个机器周期的量化误差相当小, 可以提高低频信号的测量的准确性。 本课题主要是以单片机AT89C52 为核心, 通过分频、测频电路, 以及软件程序的编写, 实现方波频率的显示。整体设计思路可用框图2

13、.1 表示。框图中各部分的作用及所采用的器件说明如下:图2.1设计方案框图2.1分频选择电路2.1.1分频电路分频电路是整个频率计电路设计成败的关键。它逻辑性强,时序关系配合得当。在具体程序运行中,并没有刻意的设置对测量结果的的计数结果的延时。但是,通过运行一定数量的代码便自然产生一小段时间的延时,以便观察,下一步通过代码设定使计数器的原纪录数据清零,准备下次计数。测频的原理归结成一句话,就是“在单位时间内对被测信号进行计数”。被测信号,通过输入通道的放大器放大后,进入整形器加以整形变为矩形波,并送入主门的输入端。由晶体振荡器产生的基频,按十进制分频得出的分频脉冲,经过基选通门去触发主控电路,

14、再通过主控电路以适当的编码逻辑便得到相应的控制指令,用以控制主门电路选通被测信号所产生的矩形波,至十进制计数电路进行直接计数和显示。 图2.2说明了测频的原理及误差产生的原因。若在一定的时间间隔T内累计周期性的重复变化次数N,则频率的表达式为式: (1) 时基信号 待测信号丢失(少计一个脉冲) 计到N个脉冲 多余(比实际多出了0.x个脉冲)图2.2 测频原理 本设计要求自动判别输入周期频率为1Hz-9999KHz信号。由于单片机的计数频率上限较低(12MHz晶振时,约为500KHz),所需要对高频被测信号进行硬件欲分频处理,采用74LS393进行分频处理。74LS393有两套完全相同的4位二进

15、制计数器,因此一片393可实现2分频、4分频、8分频、直到256分频。其连接方法为:CLK脚接需被分频的信号;MR脚为清零信号(高电平有效),一般直接接地;而Q0,Q1,Q2,Q3脚分别为2,4,8,16分频输出脚。74LS393芯片介绍:双四位二进制计数器(异步清零),异步清零端为高电平时,不管时钟1A,2A状态如何,即可以完成清除功能。当异步清零端为低电平时,在1A、2A脉冲下降沿作用下进行计数操作。外接管脚如图2.3所示。图2.3 74LS393外接管脚其真值表如图2.4示。图2.4 74LS393真值表对应原理图如图2.5。图2.5 分频模块2.1.2选择模块设计采用74LS393进行

16、分频处理后,需要再用CD4051将输入信号送入核心控制器件单片机中完成运算、控制及其显示功能。CD4051就是一种单端8通道多路开关,它带有三个输入端A,B,C,和一个禁止输入端INH。从A,B,C输入的信号来选择8个通道中的一个。当禁止端INH为1时 ,译码器输出为全0,此时八个通道全部断开,即禁止输入。当INH输入为0时, 译码器正常工作。时工作如如表2.1所示。表2.1 CD4051工作原理输入端口C输入端口B输入端口A说明000译码器X0端输出1,通道0接通001译码器Y1端输出1,通道1接通010译码器Y2端输出1,通道2接通011译码器Y3端输出1,通道3接通100译码器Y4端输出

17、1,通道4接通101译码器Y5端输出1,通道5接通110译码器Y6端输出1,通道6接通111译码器Y7端输出1,通道7接通CD4051芯片介绍:单8通道数字控制电子开关,有三个二进制控制输入端A、B、C和INH输入,有低导通阻抗和很低的截止漏电流。幅值为4.520V的数字信号可控制峰值至20V的模拟信号。4051引脚功能说明如表2.2所示。表2-2 CD4051引脚功能说明引脚号符号功能1、2、4、5、12、13、14、15IN/OUT输入/输出9、10、11A B C地址端3OUT/IN公共输出/输入端6INH禁止端7VEE模拟信号接地端8VSS数字信号接地端16VDD电源对应原理图如图2.

18、6所示。图2.6 选择模块2.1.3复位模块8位单片机是MSC-51系列产品升级版,有世界著名半导体公司ATMEL在购买MSC-51设计结构后,利用自身优势技术(掉电不丢数据)闪存生产技术对旧技术进行改进和扩展,同时使用新的半导体生产工艺,最终得到成型产品。与此同时,世界上其他的著名公司也通过基本的51内核,结合公司自身技术进行改进生产,推广一批如51F020等高性能单片机。AT89S52片内集成256字节程序运行空间、8K字节Flash存储空间,支持最大64K外部存储扩展。根据不同的运行速度和功耗的要求,时钟频率可以设置在0-33M之间。片内资源有4组I/O控制端口、3个定时器、8个中断、软

19、件设置低能耗模式、看门狗和断电保护。可以在4V到5.5V宽电压范围内正常工作。不断发展的半导体工艺也让该单片机的功耗不断降低。同时,该单片机支持计算机并口下载,简单的数字芯片就可以制成下载线,仅仅几块钱的价格让该型号单片机畅销10年不衰。根据不同场合的要求,这款单片机提供了多种封装,本次设计根据最小系统有时需要更换单片机的具体情况,使用双列直插DIP-40的封装。复位电路和时钟电路是维持单片机最小系统运行的基本模块。复位电路通常分为两种:上电复位(图2.7)手动复位(图2.8)本次设计选用上电复位。图2.7上电复位 图2.8 手动复位高频率的时钟有利于程序更快的运行,也有可以实现更高的信号采样

20、率,从而实现更多的功能。但是告诉对系统要求较高,而且功耗大,运行环境苛刻。考虑到单片机本身用在控制,并非高速信号采样处理,所以选取合适的频率即可。合适频率的晶振对于选频信号强度准确度都有好处,本次设计选取22.1184MHz接入XTAL1和XTAL2两个引脚,并连接2个20pF的瓷片电容帮助起振。AT89S52单片机最小系统如图2.9所示图2-9 单片机最小系统2.2 单片机部分 本次设计采用了AT89C52 单片机, AT89C52是低电压, 高性能CMOS8 位单片机, 片内含8kbyte 的可反复擦写的只读程序存储器。如图2.4所示 图2.4 AT89C52引脚图系统板上硬件连线 :(1

21、)把“单片机系统”区域中的P0.0P0.7与“动态数码显示”区域中ABCDEFGH端口用8芯排线连接。(2 把“单片机系统”区域中的P2.4P2.7与“动态数码显示”区域中段选端口。(3)把“单片机系统”区域中的P1.3(T)端子用导线连接到“频率产生器”区域中的WAVE端子上。 (4)把“单片机系统”区域中多个P1.4-1.7与CD4051中的A、B、C三端相连。2.3 数据显示电路常见的数码管由七个条状和一个点状发光二极管管芯制成,叫七段数码管,根据其结构的不同,可分为共阳极数码管和共阴极数码管两种。LED数码管中各段发光二极管的伏安特性和普通二极管类似,只是正向压降较大,正向电阻也较大。

22、在一定范围内,其正向电流与发光亮度成正比。由于常规的数码管起辉电流只有12 mA,最大极限电流也只有1030 mA,所以它的输入端在5 V电源或高于TTL高电平(3.5 V)的电路信号相接时,一定要串加限流电阻,以免损坏器件。我们所设计的是共阴的数码管。两种数码管内部原理如图2.10所示。图2.10数码管内部原理数码管显示模块如图2.11所示。图2.11数码管显示模块其流程框图如图2.6所示。通道判断判断等待时间是否过长过长数码管显示计算显示频率开始定时器初始化外部中断初始化通道初始化NOYES通道数减一,继续判断 SHAPE * MERGEFORMAT 图2.6 通道选择流程图要显示的数据以

23、BCD码的方式存放在单片机RAM的存储单元中。首先将位选码、段选码初始化赋值,分别送单片机端口,通过查表将存储单元的数据送LED显示;调延时程序,指向下一个显示单元,直到所有位显示完退出。在通过软件实现动态显示的时候,需要用到字型码查表图,现将表1列出下:表1 七段LED显示器共阴极字型码显示字符gfedcbadp字型码(共阴极)0011111103FH10000110006H2101101105BH3100111104FH41100110066H5110110106DH6111110107DH70000111007H8111111107FH9110111106FHA1110111077HB1

24、11110007CHC0111001039HD101111005EHE1111001079HF1110001071H.0000000180H全亮11111111FFH全灭0000000000H2.4 软件设计流程图本设计中软件流程如图2.7 所示。为使图1所示流程能顺利地完成预期的功能, 在初始化部分, 分频部分, 频率测量, 数据显示部分都分别设计了流程图。软件设计流程图如图2.7。开始 系统初始化合适的通道 频率计数 显示 图2.7 软件设计流程图初始化序源码如下:定时器初始化:void Timeinit(void)TMOD = 0 x51; /T0方式1定时,T1方式1计数TL0 = -

25、20000;TH0 = (-200008); /定时初值50msTH1 = 0;TL1 = 0;TR0 = 1;TR1 = 1;ET1 = 1; /中断开关ET0 = 1;EA = 1;分频部分源码如下:/计数溢出中断void T1PRG(void) interrupt 3 /当计数溢出65535,分频模式升高一次,TL1 = 0;TH1 = 0;if (FREQUENCY_DIVIDE_MODE 7)FREQUENCY_DIVIDE_MODE+;CHANEL_SET(FREQUENCY_DIVIDE_MODE);uchar FREQUENCY_DIVIDE_MODE_CULCULATE(vo

26、id) /若返回值为1,数据不做处理,返回0,分频需修改。if (FREQUENCY 100) /若频率测得 0)FREQUENCY_DIVIDE_MODE-;CHANEL_SET(FREQUENCY_DIVIDE_MODE);return 0;return 1;elsereturn 1;显示部分源码如下:void DisPlay(void)static uchar i = 0;uchar code tab = 0 x3f,0 x06,0 x5b,0 x4f,0 x66,0 x6d,0 x7d,0 x07,0 x7f,0 x6f,0 x76; /gongyingmabiaoP0 = 0; /消

27、隐switch (i)case 0: P0 = tabDISBUF0;P2 = 0 xef;i+;break;case 1: P0 = tabDISBUF1;P2 = 0 xdf;i+;break;case 2: P0 = tabDISBUF2;P2 = 0 xbf;i+;break;case 3:if (flag1 = 1)P0 = tabDISBUF3|0 x80;elseP0 = tabDISBUF3;P2 = 0 x7f;i = 0;break;之前显示部分错误源码:/*uchar i, Select;/ 实测后发现当频率过大后,数码管显示太慢,造成一位一位显示uchar code t

28、ab = 0 x3f,0 x06,0 x5b,0 x4f,0 x66,0 x6d,0 x7d,0 x07,0 x7f,0 x6f,0 x76; /gongyingmabiaoSelect = 0 xfe;/chushi weixuanfor (i = 0; i 4; i+)P2 = 0 xff;if (flag1 = 1)/flag为1,则以1K为单位P0 = tabDISBUFi|0 x80;/若转化为1K为单位,每一位右下角都要点。elseP0 = tabDISBUFi; /duanxuanP2 = Select; /weixuanSelect = (Select 10k?Yes:分频Ye

29、s:M=2F计数1k?No:M=M*2No:F=F(计数)*MNo:F=F(计时)M=0?图3.1 测量频率的方法原理框图图中晶体振荡提供了测量的时间基准,经过选通开关CD4051处理后的信号进入74LS393二进制计数器进行分频,再由显示电路显示数据结果。第四章详细设计4.1 功能实现 本次采用单片机设计的数字频率计主要实现以下几个功能:(1)用4数码管显示HZ、KHZ、MHZ三个频段的待测脉冲信号的频率值。(2)频率测量范围从1HZ10MHz。(3)测量方波的频率值。4.2 硬件部分设计频率计由单片机AT89C52 、信号处理电路、分频电路、测量数据显示电路所组成,系统硬件实现框图如图4.

30、1所示。待测电路选择通道分频 单片机 显示电路 图4.1 系统硬件实现框图4.2.1单片机AT89C52在实际的设计中,将AT89C52的P1口设置为接收数据端口,将P3口设置为第二功能。P3.4用于直接测频率时脉冲信号的计数端;P3.5用于定时。将P0口和P2口设置为发送数据端口。P0口的各引脚接到RP1的输入端,用于段驱动;P2口用于位驱动。单片机复位端(RST)可采用内部软件复位,也可采用外部手动复位,实际操作也很方便。这里采用外部手动复位,为图4.3且晶体振荡器电路如图4.4所示:图4.4 晶体振荡器电路 图4.3 单片机复位电路4.2.2测量数据显示电路如图4.5所示。一般而言,数据

31、显示有静态显示和动态显示两种。所谓静态显示,就是当显示器显示某一个字符时,相应的发光二极管恒定地导通和截止。优点是显示稳定,显示亮度大;缺点是使用的数码管数量少。正是因为这个缺点和本设计的要求,数字频率计的显示电路选择了采用动态扫描显示。所谓动态显示,就是LED显示器一位一位地轮流电亮(扫描)。对于每一位LED显示器来说,一段时间点亮一次。LED 显示器的亮度既与导通电流有关,也与LED显示器点亮时间和间隔时间的比例有关。通过调整LED显示器的导通电流和时间比例参数,实现较高亮度且稳定的显示。LED显示器采用共阴极动态显示形式,4LED用一四位集成的数码管连接组成。频率计数结果以BCD码的形式

32、存放在89C52的存储单元中,通过P0口接到74LS245上,控制4位LED的段选码;通过P3.3口接到CD4051上,控制4位LED的位选码。根据本设计的原理图知,数据是从A端传送到B端,因此设T/=1,即是高电平有效。另外,由于51单片机的P0口没有上拉电阻,在将P0口设置为输出端时,必须考虑在段驱动的每一段位上接入上拉电阻,使LED显示管能够工作。我们知道,单片机的P1口扫描输出时总有一位为高电平,如果没有反相驱动器将这一位的高电平变成低电平,那在LED上显示出来的将是乱码。所以在编程与设计电路的时候格外注意段选与位选码的设定。因为,当地一次实物做出来后,数码管是没有任何显示的(位选码,

33、与原理图不符)。下图4.5便是集体频率测量仿真电路。图4.5 测量数据显示电路第五章 数字频率计的设计与仿真电路的基本功能是实现电子产品开发设计的技术和功能,使电路具有某种特定功能,必须进行电路的设计和制作。设计是是某一电路具有某种功能,制作则是设计过程的电路实物化。5.1电路的设计电路的设计既是一门科学,又是一门艺术,实现同样的技术指标,不同的人有不同的设计方案。5.1.1电路设计的内容和方法电路设计一般包括:拟定性能指标,电路的预设计,实验和修改设计等环节。衡量设计的标准是:工作稳定可靠,能达到所要求的性能指标,并留有适当的余量;电路简单,成本低;所采用的元器件品种少、体积小,且货源充足;

34、便于生产、测试和维修。电路设计的基本方法为:借鉴设计法、近似设计法、分解组合设计法。1、电路设计的方法步骤(1)、用万用表的电阻档检查每条线是否连接好,避免短路或者断路现象;(2)、检查单片机的31管脚是否接高电平;(3)用示波器检查单片机最小系统中的复位电路的晶振是否起振,即输出12MHz矩形波;(4)、用示波器检查74LS393的1管脚处是否有信号输入,即检查有无函数发生器输出的标准的、一定频率的矩形波;(5)、用示波器检查74LS393的3、4、5、6、11、10、9管脚处是否分别实现了对输入信号的2、4、8、16、32、64、128次分频;(6)、用示波器检查CD4051的13、14、

35、15、12、1、5、2、4管脚处是否分别接收到来自74LS393的分频信号;(7)、用示波器检查CD4051的3管脚处是否有矩形波的输出;并检查单片机的13管脚是否有矩形波的输入;(8)、用示波器检查单片机的25、26、27、28管脚是否有循环出现高电平;(9)、用示波器检查数码管的6、8、9、12管脚是否循环出现高电平,并观察数码管是否点亮。(8)、用示波器检查单片机的25、26、27、28管脚是否有循环出现高电平;(9)、用示波器检查数码管的6、8、9、12管脚是否循环出现高电平,并观察数码管是否点亮。2、电路设计的基本方法(1)借鉴设计法接到设计任务或确定设计目标后,设者应结合产品,进行

36、调查研究,选取可以借用或借鉴的实用电路。一般情况下,有许多原理和技术上可以借用的电路,设计人员得对电路进行改进和元件调整,以适应设计需要。借用的电路已经经过实践和时间的考验,更有工程价值,这样做不仅可以缩短设计周期,而且新设计的电路在技术,性能,成本等各方面都得到提高;这样才会被工程上接受。(2)近似设计法近似设计法是电路设计的又一种方法。在实际应用中,理论可以给设计者一个清晰的思路,但理论与实际不同。在电路设计中,由于元件受多方因素的影响,往往采取“定性分析、定量估算、实验调整”的方法,所以只需进行粗略计算,帮助近似确定电路参数的取值范围,参数的具体确定借助于实验调整和计算机仿真来完成。(3

37、)分解、组合设计法在设计电路时,电子线路按照功能的不同可以划分为各个子模块,各模块参照具体电路进行设计,然后组合统调。由功能电路组合成大系统时,由于子模块之间存在负载效应的影响,而使电子产品整体性能下降。因此,在由大系统分解为子系统时,不仅要注意功能分解,而且还要合理分配性能指标。5.1.2电路设计的步骤1课题分析根据论文的要求,先弄清楚论文要实现的功能和原理,再确定电路的基本形式,根据论文的可行性作出估计和判断,确定论文的技术关键解决的问题。2设计方案论证选题不管那种(除了调查研究之外)都要论证它的可行性。论证分立论和驳论两种。3总体方案的选择根据任务书提出的任务、要求和性能指标,用具有一定

38、功能的单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。4单元电路的设计与确定在确定总体方案、画出详细框图之后,便可进行单元电路设计。(1)在电路结构简单,成本低,性能强的基础上,根据设计要求和总体方案的原理框图来确定各单元电路要求。(2)设计每一个单元的电路图(3)根据相关资料确定单元电路的结构形式。(4)根据设计要求,调整元件,估算参数来选择元器件,。5总电路图画法总电路图的一般方法如下:(1)根据信号的流向,从左到右或从上到下按信号流向依次画出各单元电路。(2)尽量把总电路图画在一张图样上(3)电路中所有连线都要表示清楚,各元件间的绝大多数连线应在图样上直接画出。(

39、4)符号应标准化。(5)先画草图,调整好布局和连线后,再画出正式的总电路图。5审图由于有些问题考虑不周,各种计算可能出现错误,所以,在画出总电路图并计算全部参数之后,要进行全面审查。5.2 数字频率计的仿真 根据电路图,各单元电路之间的连接关系,以及用哪些元器件进行仿真,由于是初学者经验不足,没有实际应用过,单凭看资料很难掌握它们内容。设计时难免考虑不周、出现差错,单是纸上谈兵,想使自己设计的电路完美无误是不可能的,所以,必须进行仿真。在仿真过程中会遇到问题要善于理论联系实际,深入思考,分析原因,找出解决问题的办法。通过前面总的设计框图,我们初步搭建了数字频率计设计的框架结构。下面仿真结果如图

40、5.1所以: 图5.1 系统仿真电路图输入信号为1.2kHZ时,仿真如下: 图5.2 系统仿真图输入信号为100HZ时,仿真如下: 图5.3 系统仿真图输入信号为500HZ时,仿真如下: 图5.4 系统仿真图从以上的仿真结果可以得出:在所测量的频率范围,频率越高真结果越精确,频率越低就会出现一定的误差,当频率超过10MHZ时,就仿真不出其正确结果。补充:之所以没有附5k以上的仿真图,是因为仿真软件在频率大于5k时会刷新的十分慢,一次只刷新一位。(软件版本问题)。但是,在实物效果中,本组的成品在高频方面表现优异误差基本在%0.125上下浮动,低频部分当频率小于10Hz时,便会有较大的误差。经讨论

41、,本组成员一致决定对程序代码进行不懈的进一步优化。最终,在王江老师的提点下,实物达成了较为满意的效果。第六章 减小误差措施及扩展方面 6.1实际操作中遇到的问题6.1.1 硬件上的问题当本组成员第一次发现实物测量出来的频率,无论输入在什么范围,显示频率一直在5000KHz左右上下浮动时便怀疑是分频模块(74LS393)出了问题。于是,便以256KHz为标准频率(函数信号发生器为信号源),分别对3、4、5、6;8、9、10、11等8个管脚进行的频率的测量,并且在示波器上显示出了处理后的频率。结果显示,分频模块工作正常在11、10、9、8;3、4、5、6上分别产生了2、4、8、16、32、64、1

42、28、256的分频效果。故,74LS393工作正常。紧接下来,经过本组成员讨论,又认为是CD4051芯片工作异常。于是,我们改变了源码,让A、B、C三个管脚的数值多次变化,然后测量X0-X7的点位高(4.93V)低情况。结果显示,CD4051完全能正常选通管脚的高低电位。然后,我们又更换了STC89C52单片机,结果依然正常。同时,也仔细检查了焊接情况有无虚焊、漏焊。最终,完全排除了硬件问题的可能性。6.1.2 软件上的问题最初的时候,我们尝试显示函数放在主函数中,发现数码管刷新的非常慢。经过讨论,决定把显示函数放到定时中断里,结果恢复正常。在第一次烧录程序的时候,数码管完全无显(此时,仿真显

43、示已完全正常)。经过仔细的排查,发现原因是由于刚开始设计的时候画原理图的成员没有跟编写软件的成员做好沟通。导致仿真图管脚接法与原理图、PCB图不符,故在程序编写的时候对管脚的控制出现了重大失误(数码管段选)。这次失误,让组员们对软硬件分工人员的沟通问题上的认识得到了全面的提升。组员们还曾经对算法的设计有过分歧,即:分频是从大系数(256)向小系数(2)尽心处理还是从小系数向大系数处理。经过仿真软件的效果与实物实际效果的对比分析,最终选择了后者(在低频测量方面更稳定、迅速)。6.1.3 软硬件连调遇到的问题在排除了上述所有问题后,我们进行了软硬件连调的工作。在接上函数信号发生器后,发现数码管上显

44、示的数字进行了没有规律的显示。于是,我们又进行了一系列的工作,包括重复以上步骤、重新制版、重新焊接、更换电子元器件、重新编写程序等。最后,在排除一切错误的可能性下,问题依然没有解决。于是,抱着试一试的态度,我们换了台函数信号发生器,一切显示正常。以上失误,皆让我们受益匪浅,并且极大地磨砺了我们的耐心跟看待事物心态。6.2减小误差措施(1)选用频率较高和稳定性好晶振。如选32MHZ的晶振可使测量范围扩大,稳定性好的晶振可以减小误差。(2)测量频率低的信号时,可适当调整程序,延长门限时间,减少原理上1的相对误差。(3)测量频率高的信号时,可先对信号进行分频,在进行测量。 (4)可以先设定一个数组,

45、对同一时间段的计数取平均值,以求减少偶然误差。6.3功能上的完善6.3.1 增加键盘控制通过按键实现数字频率计的测频率,周期,占空比,脉宽等各项功能。按不同的键起到不同的作用,也就是完成不同的功能。还可以根据按键数的多少来选择不同的键盘。所以我们可以从实际操作中知道键盘的扩展是非常方便的。6.3.2 液晶显示器(LCD)进行数据的显示LED显示管只能显示09和一些简单的英文字母,频率计的功能就受到极大的限制,而LCD显示管能够解决LED的不足,增强显示功能。LCD具有体积小、低耗电量、无辐射危险,平面直角显示以及影像稳定不闪烁等优势,因此广泛应用于各种仪表设备中去。LCD显示器主要有字符型和点

46、阵型两种。6.3.3 实时上位机显示上位机相关画图源码如下(VB):Dim d As IntegerPublic num As IntegerDim X1Dim a(300) As LongPrivate Sub Command1_Click()Timer1.Enabled = True For k = 1 To 9 Pic1.Line (0, 1000000 * k)-(9000, 1000000 * k) Next kPic1.CurrentX = 0Pic1.CurrentY = 0End SubPrivate Sub Command2_Click()If m1.PortOpen = T

47、rue = True Then m1.OutBufferCount = 0 .清空输出寄存器 m1.Output = b .发送数据 Else MsgBox please open the port first End IfEnd SubPrivate Sub Command3_Click() If m1.PortOpen = True Then m1.OutBufferCount = 0 .清空输出寄存器 m1.Output = s .发送数据 Else MsgBox please open the port first End IfEnd SubPrivate Sub Form_Load(

48、)Static jjPic1.Scale (0, 10000000)-(9000, 0)num = 0d = 0Sum = 0ii = 0jj = jj + 1If m1.PortOpen = False Then m1.PortOpen = Truem1.Settings = 9600,n,8,1m1.DTREnable = TrueEnd SubPrivate Sub Timer1_Timer()Static dDoDoEventsLoop Until m1.InBufferCount = 12tt = m1.InputText1 = tttX1 = Mid(tt, 1, 1)tX2 =

49、Mid(tt, 2, 1)tX3 = Mid(tt, 3, 1)tX4 = Mid(tt, 4, 1)tX5 = Mid(tt, 5, 1)tX6 = Mid(tt, 6, 1)tX7 = Mid(tt, 7, 1)X1 = 1000000 * CInt(tX1) + 100000 * CInt(tX2) + 10000 * CInt(tX3) + 1000 * CInt(tX4) + 100 * CInt(tX5) + 10 * CInt(tX6) + CInt(tX7)Text1 = X1 If num = 300 Then d = 0 Pic1.CurrentX = 0 Pic1.Cur

50、rentY = a(d) For m = 0 To 299 Pic1.Line -(30 * m, a(m) Next m End IfEnd SubPrivate Sub 结束_Click()If m1.PortOpen = True Then m1.PortOpen = FalseEndEnd Sub上位机显示界面如下:由于时间有限,串口通讯相关程序没有连调成功,故在验收时被判定为失败。其大致核心思想就是:通过2个数据接受仓存放X、Y轴的数据情况,再在程序设定密集的方格中对其进行相应部分的填充以达到显示图形的效果。(代码已完全可用)但不可否认的是,对上位机的了解从无到有,也是一笔宝贵的财富

51、。结 语数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,会被经常使用到。在这次的自适应数字频率计制作过程中,班上的同学、王江老师等,给我们提供了极大的帮助与悉心的指导,在此表示诚挚的感谢。本报告主要介绍了一种基于单片机AT89C52制作数字频率计的设计方法。其测量原理非常简单,硬件电路制作方便,软件编程易于实现,所测得的频率范围较宽,精度较高,平均相对误差在0.125%左右,是在允许的测量误差范围内。此次设计的数字频率计达到了测量频率的目的,但在实际制作和测试过程中,由于

52、自己知识有限,时间短和经验不足等原因,还是出现了一些问题和需要继续改进、完善的地方。比如:在制PCB板时,单面布线的布通率始终无法达到100%,因此焊接电路板时必须采用导线连接(跳线),测量时准确性受外部因素影响比不用导线连接时大,造成的测量误差就大些。在编写程序时,各个子函数调用的先后不同,致使测量的误差比理想的要大。由于单片机内部具有丰富的存储资源和强大的数据处理能力,因此采用单片机设计的数字频率计只需要改动很少的硬件部分就可以和其他的自动化仪表组成多功能控制系统,测量速度得到提高,用于连续测量的控制系统是非常有价值和意义的。参考文献1 张国兴.用单片机制作数字频率计J.电子制作,2005

53、,(2):32. 2 李建忠.单片机原理及应用M.西安:西安电子科技大学出版社,2002.1.3 唐俊翟,许雷,张群瞻.单片机原理与应用M.北京:冶金工业出版社,2003.6.4 公茂法,孙皓,吕常智.简易数字频率计的设计与分析J.山东矿业学院学报(自然科学版), 1999,18(2):44-495 王保强,窦文,白红.高精度测频方案设计J.成都信息工程学院学报,2002,17(2):77-81.6 徐煜明,韩雁.单片机原理及接口技术M.北京:电子工业出版社,2005.57 VASILIS HAMILAKIS,N.C VOULGARIS.An Accurate Method for the M

54、easurementand Its Deviation Using a Micro-computer.IEEE Trans InstrMeas IM-36,1987.No.1.8 刘雪根.数字频率计的误差分析J.自动化与仪表,1996,11(3):23-24.9 徐成,刘彦,李仁发等一种全同步数字频率测量方法的研究M电子技术应用,2004,07.10 Dawei Fan,Centeno,VPhasor-Based Synchronized Frequency Measurement in Power SystemsPower Delivery,IEEE Transactions Oil,200

55、7.11 李广明,杨雷一种多周期测量频率的方法及应用J现代电子技术,2008,12:155-157.12 李爽TMS320F2812在电力系统测频装置中的应用J工业控制计算机,2008,2l(8):71-73.13 邵杨帆,李宏准全同步频率测量方法的研究与实现J电子测量与仪器学报,2008,03:105-108.14 赫建国,刘立新,党剑华.基于单片机的频率计设计J.西安邮电学院学报,2003,8(3): 31-34致 谢 本课题的设计和制作是在我们小组老师的精心指导下完成的。王老师对我们的设计给予了极大的关注,在硬件原理电路的分析、PCB板的制作以及软件编程实现中都给予了我们莫大的支持、鼓励

56、和帮助,王老师耐心地给我分析原因,解决问题。王老师兢兢业业的工作精神、严谨的治学态度、踏实真诚的处事态度,使我深受感动,是我在现在和今后的学习、工作中学习的楷模。衷心地感谢王老师!是您的辛勤劳动,才能使我能够顺利地完成论文的撰写和实物的完成! 同时,也向我的父母致以真心的谢意!他们在我的大学阶段以及整个人生中给予了我无私的关怀,使我能够安心的在学校里完成学业。 最后,衷心感谢王老师!感谢您在百忙之中参与我组的文档评阅工作。谢谢! 附 录硬件设计原理图:硬件设计原理图2 设计硬件PCB图硬件设计PCB图3 自适应数字频率计测量频率程序:#include #define uchar unsigne

57、d char#define uint unsigned intsbit A0 = P15;sbit A1 = P16;sbit A2 = P17;long FREQUENCY = 0; /频率定义/uchar count = 0; /溢出标志uchar flag = 0; /定时次数20uchar qian, bai, shi, ge;uchar flag1 = 0; /判断频率是否超出数码管显示范围uchar FREQUENCY_DIVIDE_MODE;/定义分频模式 从低到高,依次判断uchar DISBUF4 = 0,0,0,0;/定义位选uchar flag2 = 0; /判断是否完成

58、一次一秒测频uchar flag3 = 0;/发送完成标志void DisPlay(void);/void Delay(uint z);void Timeinit(void);void UNIT_SWITCH(long x);/单位转换void CHANEL_SET(uchar y); /通道选择void chuankouInit(void);/串口定义void tx_str(long x);/串口发送void jishu(void);uchar FREQUENCY_DIVIDE_MODE_CULCULATE(void);/分频模式判定 调节ABC开关,改变通道void main(void)T

59、imeinit();chuankouInit();FREQUENCY_DIVIDE_MODE = 0;/初始化通道,先使用最低分频进行判定CHANEL_SET(FREQUENCY_DIVIDE_MODE);while (1) if (flag2 = 1) /完成了一次频率测量flag2 = 0;if(FREQUENCY_DIVIDE_MODE_CULCULATE()/若返回值为1,证明数据范围合适 switch (FREQUENCY_DIVIDE_MODE) /在数据范围合适的前提下,进行频率复原case 0: FREQUENCY = FREQUENCY * 2;break;case 1: F

60、REQUENCY = FREQUENCY * 4;break;case 2: FREQUENCY = FREQUENCY * 8;break;case 3: FREQUENCY = FREQUENCY * 16;break;case 4: FREQUENCY = FREQUENCY * 32;break;case 5: FREQUENCY = FREQUENCY * 64;break;case 6: FREQUENCY = FREQUENCY * 128;break;case 7: FREQUENCY = FREQUENCY * 256;break;default: break; UNIT_S

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论