EDA课程设计出租车计价系统_第1页
EDA课程设计出租车计价系统_第2页
EDA课程设计出租车计价系统_第3页
EDA课程设计出租车计价系统_第4页
EDA课程设计出租车计价系统_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDAM程设计 出租车计价系统作者:日期:1 O设计思路分析.实验要求设计一个出租车计价器,要求显示里程和金额.起步和到达停车时要求有声音提示;行程小于基本里程时,显示起步价,基本里程、起步价要求可通过按键自己设定;行程大于基本里程时,每多行一 公里,在起步价上加 X元,X可由按键设定;当出租车等待时,由司机按下等候键,每等待一分钟加 Y元,Y可由按键设定;用脉冲信号模拟轮胎的转数,设每计一个脉冲汽车前进 100米,系统中所需脉冲均由实验箱的50MHz晶振分频提供.根据要求确定模块及其分析.按键消抖模块:分析:由于外部按钮在动作时必然产生抖动,若不消除则容易导致多次检测到边沿而产生错误判断。功

2、能:此模块用于消除产生的抖动波形。. 50MHz分频模块:分析:要求100m产生的脉冲,并且需要等待计价和声音提示,所以有必要对50MHz进行分频成分钟、秒钟和发声频率 .将系统所有需要用到的频率,由此模块产生,使程序模块化分 工明确。功能:此模块模拟出车行驶 100m产生的脉冲,并完成每 1Km产生一个脉冲输出;产生 1s、1min、蜂鸣器鸣叫所需的频率方波。.蜂鸣器控制模块:分析:题目要求只有在起步和到达停车时要求有声音提示,所以需要检测起步和停止这 两个状态,然后决定是否发声。功能:完成检测当前状态并决定是否驱动蜂鸣器。.设置数据存储器模块:分析:对起步价、基本里程、每公里价格及等待价格

3、都是可用户设定的,所以通过按键 对这些数据进行设定,为了方便操作,需对输入按键的读取采用模式选择形式.为了对其他模块的兼容性,采用当前模式状态输出。功能:设置并寄存起步价、基本里程、每公里价格及等待价格.停止复位模块:分析:由于停止是在系统任何情况下都能进行的,所以建立单独的模块对复位进行动作,输出复位时钟。功能:检测是否复位系统本次运行。.数据检测模块:个人收集整理勿做商业用途分析:要对行驶路程及价格进行计数处理,所以需要设计一个模块读取外部寄存器的相 关数据,结合外部脉冲,处理这些数据,从而得出所需的数据。功能:对获取模拟脉冲、 时间及设置信息并进行处理,决定输出内容,如价格,行驶里程,

4、或设置状态下的起步价、基本里程等.数据显示处理模块:分析:由于数码管显示代码与实际数据不同,所以需要进行转换,对于显示排列及显示 滚动均由一个模块完成,使得显示情况与外部数据状态无关,即外部模块只需给值,无需决 定其因如何显示。简化其他数据处理模块的程序。功能:将获得的数据转换为数码管显示的代码,并完成移动显示功能。.数码管显示模块:分析:读取7段代码 进行八位数码管扫描显示。功能:显示获得的数据代码.2.系统结构设计及分析.系统系统的总体模块图:ycx*&口一手XI/IUF 匚 .f&一 p.ramelM - vIBkje ,二.:.-lr-l2。系统引脚分配图:TcL ocatiDnTjO

5、 BankI/O StandardGeneral Fundi onSpecial Ftjnrton4L cdiPDMJ.41LVTTlRow I/OLVOSlOn5PDMJH31LVTTlRowgLVJSZln6国PIN_H4iL7TTLRow I/O7云司PIN_H11LVTTLRfrvy I/ODQOL?8edTifSPIN J_21LVTTLRow I/OLOSllpgPm_K41L/TTLRow I/OPL_l_5lJ7p1CPIN_N3:1L/TTLRow IfiD_V3S4j/DQ11411MDvsrlOypm ji 3LVTTICoiurnn I O12PWJJG4tLvmRow

6、 J/OL 比力 QCL413*叩PTNjG3ILVTTlGaw l/n1H口PIN取1imiH(W I/OLVDSTQnpQflL 11533印PIN_F?1LmiRovj T/Q1比呈布163处剧PIW_F11LmiRow VOOFCLKl/DQgflL173国P1NJG2lvttiRow UOLVDSLSnL83克陶P1M_G11LvmRow yoLVD(S25p195El7PIN_H21L?m.Row I/O2 GEtKEVPIN M31lzttiRow I 0_VD际仗IL 121定廿归出但/PIN M41LmiRow I/QL6小22DsetMo/ypmM1ivthROW I/OL

7、VJS 申23油俄EVPIM T12gLvrnCokjmr IDLVD6W DC OF -24jpButPIN T10JL/mColumn I. QLVDS11U25PIN V13L/TTLColumn I.1O26_EDnmPIN_N15L/mR(wl/CiLVDSSOp27,_EDotiPINJJ114L/TTLCohiwi yoLVDiSlOSp/DHOB28_EDmiPIN RllL/TTLCokimrlCi一展1蜂-/-EDperatePDMRIOL/TTLCokimrilOLVDSllln30U-EDdvetPIN_R134L/mCoLinn IjOLVD5104r31llDsta

8、rtPIFJ.R124IVTTLCokjnri ICLVDSlOerQOKfi-EDwarPIU JU 13LVTRCoLimr ICLVDS105rQ0D43MQspemke”PINJHqLvrnRow yoLVDSeBp34rew3。系统各功能模块的实现:1。按键消抖模块: a。模块图带自保持消抖按钮模块p *胁/四牛1防U:耳y22n6: gumiiiiinmMWMniiiiiMwmiiiinnMiiMiiraiiMmiiin 网城it:tTwwraiiiic.实现思想:采用抽样比较的方式进行消抖,即连续对输入抽样,比较抽样十次数据是否相等,相等则使得输 出为十次相等的值,否则继续抽样。

9、实际中抽样频率保持在1KHZ。2。50MHz分频模块a.模块图ParameterValluesecnt1000000elkclkkmclkcarclkmiclksecclkhudclkspkb.仿真波形elk elbe&r凶侬 cIUjieLbi clH*二 elkptwniiniirniiinmnifnTminiiiTinTiiiinniinirnTnrniiiiniiniiffliniinwTnrmiiniiniiiinniiniinnTniiiniiiiniinrminnnTniirnnfuinifinnnnnfinfinnnrnrnnnnjinnimnnwTuuuuinmnuinnnn

10、innnnnwmiuuiiuvuinnnmuinJwif3。蜂鸣器控制模块:a.模块图c.实现思想:当输入开始按钮按下并为启动状态,或结束按钮按下且为结束状态,蜂鸣器输出频率方波驱动蜂鸣器。4.设置数据存储器模块:a。模块图setupButbs3 .0 1dnButsp3 .0 1set Keyxp3 ,0 1cmod 1yp(3-01crriod2dis modinst13b.仿真波形AEllrIFIL t t HfldlJICFkr z L Ltda*.匚匚11厂匚口一1口1匚1 一匚匚口匚匚1:1门口.匚几1 1J匚1 一_r r._n r nn m n.n n nnn n r r 1

11、n n niinnn n.n n n n.n r r.r. i_n.nn nnr.n _j;_ l nirumrurLTuinji_Co实现程序:library ieee ;use ieee.std_logic_1164。all;use ieeeo std_logic_unsigned。 all;entity set isport(upBut , dnBut,setKey,cmod1 , cmod2: in std_logic ; bs, sp,xp, yp:out std_logic_vector(3 downto 0); dismod:out std_logic);end;architec

12、ture one of set issignal modc:std_logic_vector(1 downto 0 );signal bst, spt,xpt,ypt : std_logic_vector(3 downto 0 );signal cKey:std_logic ;beginmodc = cmod2 & cmod1; bs = bst;sp = spt;xp = xpt ;yp = ypt ;cKey dismod dismod when 11 = dismod when others = null;end case;end if;end process;=; if upBut =

13、 1 then bst = bst + 1;else bst if upBut = if upBut = null;1 then sptspt + 1; else spt = spt - 1; end if;1 then Xpt xpt + 1;else xpt = xpt 1; end if;,1, then ypt = ype lsei ypt = ypt 1; end if;end case;end if;end if;end process;end;5。停止复位模块:a。模块图ParameterValueovercnt100000dkClkDUtldklclkJLM?ctli Inst

14、14加上g L 23 ut1 世 驻士一 3.: ui 3.C4 4 40 艮:一E 一 一 1 ui TH 必 T.t3 第clkmouC般(1|clkkoutf 8 o-n- T LI 工 i-一1 - - vxwKijIbscs3.Ob.仿真波形cDccLLclkl虱k2tlhmilll-Ij.uLI-Co实现思想:由于在数据检测模块中对 1km或1min产生的脉冲检测间隔过长,进程无法瞬间检测结束 脉冲而复位数据,所以此模块采用与检测脉冲异或的方式,将复位电平转化为复位频率方波,从而解决无 法及时复位或程序复杂化的缺点.数据检测模块: a。模块图Kfi ipJ 0片0ITWd?FTKJ

15、d1|b.仿真波形Co说明:根据modi和mod2决定当前模块工作状态,从而从不同数据口读读取数据并进行处理,同时输出相应的数据。.数据显示处理模块: a.模块图exchangeoiitV55.0inst16i /inr-.iii r I -直“ITEESm如RFTRELf 内m:nrtUrrtc.说明ledout6 0mDat55inst17b.仿真波形value18 0 ;alue?|3. 01bo仿真波形即为经valuel与value2转换后的数码管显示代码,即每个数 56位。a。模块图mven为滚动显示时能端,outVParameterValuespeed12500000Paramet

16、erValuecoiint125000卜OS A2i.38 US1.54 1.7 us1u1.EG n2.usE. LS us S.34 s a2.5 a HiiMnumumimCTmuinMmiimmiimwmmiimiimiiinmiummTimiwnwnw口汽修 sdwozdi*_1LUUUU-4_UM 113 MJUJUv-X-皿Uu:Uu if12Co实现方法:采用动态扫描的方式实现。3。系统使用说明滚动使能等待对应下按 用指示灯显示二区显示 显示一区 显示二区正常 价格行驶路程起步价 基本路程等待:mi岛竹格.龛川上 上 基本路程下 上 起步价上 下 价格,Kn】下 下等恃/min说明设置使能为上忖,正常显示; 为下时.为设置

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论