2FSK传输系统仿真与性能分析_第1页
2FSK传输系统仿真与性能分析_第2页
2FSK传输系统仿真与性能分析_第3页
2FSK传输系统仿真与性能分析_第4页
2FSK传输系统仿真与性能分析_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、通信原理课程设计报告题目:2FSK传输系统仿真与性能分析院系:专业:电子信息科学与技术班级:姓名:学号:联系方式:指导教师:报告成绩:2015年12月30日 课程设计题目与要求2FSK传输系统仿真与性能分析:设二进制序列0110110010,采用2FSK系统传输。码元速率为1Bd,载波频率为40Hz,采样频率为10Hz,利用MATLAB画出以下波形:(1)、调制后的信号波形;(2)、经过信道传输后的信号波形(加入高斯白噪声);(3)、相干解调后的信号波形;(4)、分析2FSK传输系统中误码率与信噪比之间的目录TOC o 1-5 h z摘要3 HYPERLINK l bookmark4 o Cu

2、rrent Document 第一章绪论4 HYPERLINK l bookmark6 o Current Document MATLAB简介4 HYPERLINK l bookmark8 o Current Document 1.2课程设计目的与基本要求4 HYPERLINK l bookmark10 o Current Document 1.3课程设计内容5 HYPERLINK l bookmark12 o Current Document 第二章2FSK基本原理和实现5 HYPERLINK l bookmark14 o Current Document 2.1二进制移频键控(2SFK)信

3、号的产生5 HYPERLINK l bookmark20 o Current Document 2FSK信号的解调方式8 HYPERLINK l bookmark22 o Current Document 2.2.1非相干解调8 HYPERLINK l bookmark24 o Current Document 2.2.2相干解调8高斯白噪声9 HYPERLINK l bookmark28 o Current Document 误码率与信噪比10 HYPERLINK l bookmark30 o Current Document 第三章2FSK调制与解调的仿真实现11 HYPERLINK l

4、bookmark32 o Current Document 2FSK调制的实现11 HYPERLINK l bookmark34 o Current Document 调制信号经过信道后的波形12 HYPERLINK l bookmark36 o Current Document FSK相干解调的实现13 HYPERLINK l bookmark38 o Current Document 2FSK传输系统中误码率与信噪比之间的关系14 HYPERLINK l bookmark40 o Current Document 总结16 HYPERLINK l bookmark42 o Current

5、Document 参考文献17 HYPERLINK l bookmark44 o Current Document 附录18 HYPERLINK l bookmark46 o Current Document 源程序182FSK传输系统仿真与性能分析摘要本设计是基于MATLAB来实现2FSK调制与解调的仿真,主要设计思想是利用MATLAB这个强大的数学软件工具方便快捷灵活的功能实现二进制数字调制解调中的2FSK的调制解调设计,完成2FSK数字传输系统的仿真与性能分析。该设计主要包括2FSK信号的产生原理,调制解调方法,并对各个模块进行相应的参数设置。使用键控法产生2FSK信号、添加高斯白噪声、

6、使用相干解调、抽样判决等实现调制解调。可以用数字基带信号改变正弦型载波的频率参数,产生相应的数字频率调制。最后,分析2FSK传输系统中误码率与信噪比之间的关系。关键字:MATLAB、2FSK、调制,解调,误码率,信噪比第一章绪论MATLAB简介MATLAB是由美国的MathWorks公司推出的一种科学技算和工程仿真软件,它的名称源自MatrixLaboratory(矩阵实验室),专门以矩阵的形式处理数据。它是目前国际上流行的进行科学研究、工程计算的软件。它起源于矩阵运算,并已经发展成为一种高度集成的计算机语言。MATLAB具有强大的数学运算能力、方便实用的绘图功能及语言的高度集成性。除具备卓越

7、的数值计算能力之外,它还提供了专业水平的符号计算、文字处理、可视化建模仿真、实时控制等功能。在通信领域MATLAB更是优势明显,因为通信领域中很多问题是研究系统性能的,传统的方法只有构建一个实验系统,采用各种方法进行测量,才能得到所需的数据,这样不仅需要花费大量的资金用于实验系统的构建,而且系统构建周期长,系统参数的调整也十分困难。而MATLAB的出现使得通信系统的仿真能够用计算机模拟实现,免去构建实验系统的不便,而且操作十分简便,只需要输入不同的参数就能得到不同情况下系统的性能,而且在结构的观测和数据的存储方面也比传统的方式有很多优势。因而MATLAB在通信仿真领域得到越来越多的应用。新版本

8、的MATLAB可以利用MATLAB编译器和C/C+数学库和图形库,将自己的MATLAB程序自动转换为独立于MATLAB运行的C和C+代码。允许用户编写可以和MATLAB进行交互的C或C+语言程序。另外,MATLAB网页服务程序还容许在Web应用中使用自己的MATLAB数学和图形程序。MATLAB的一个重要特色就是具有一套程序扩展系统和一组称之为工具箱的特殊应用子程序。工具箱是MATLAB函数的子程序库,每一个工具箱都是为某一类学科专业和应用而定制的,主要包括信号处理、控制系统、神经网络、模糊逻辑、小波分析和系统仿真等方面的应用。在开发环境中,使用户更方便地控制多个文件和图形窗口;在编程方面支持

9、了函数嵌套,有条件中断等;在图形化方面,有了更强大的图形标注和处理功能,包括对性对起连接注释等;在输入输出方面,可以直接向Excel和HDF5进行连接。1.2课程设计目的与基本要求了解数字频带传输系统。熟悉二进制数字调制与解调原理。掌握二进制数字调制方式中的二进制移频键控(2FSK)的调制与解调的基本原理与方法。了解并分析2FSK传输系统中误码率与信噪比之间的关系。学会MATLAB的使用,掌握MATLAB的程序设计方法。1.3课程设计内容2FSK传输系统仿真与性能分析:设二进制序列0110110010,采用2FSK系统传输。码元速率为1Bd,载波频率为40Hz,采样频率为10Hz,利用MATL

10、AB画出以下波形:(1)、调制后的信号波形;(2)、经过信道传输后的信号波形(加入高斯白噪声);(3)、相干解调后的信号波形;(4)、分析2FSK传输系统中误码率与信噪比之间的关系。第二章2FSK基本原理和实现2.1二进制移频键控(2SFK)信号的产生在二进制数字调制中,若正弦载波的频率随二进制基带信号在f1和f2两个频率点间变化,则产生的而二进制移频键控信号(2FSK信号)。二进制移频键控信号的时间波形如下图1所示,图中波形g可分解为波形e和波形f,即二进制移频键控信号可以看成是两个不同载波的二进制振幅键控的叠加。若二进制基带信号的1符号对应载波频率f1,0符号对应载波频率f2,则二进制移频

11、键控的时域表达式为:nn式中0,发送概率为Paksi(t)s2(t)cos(wlt+0n)L1,发送概率为1-P发送概率为1-Pan=1,发送概率为pi00it/WWWAAAPcos(w21+申n)s1(t)cos(w1t+0n尸AAA/s2(t)cos(w21+申n)2FSK信号图12FSK信号的时间波形co叫工ang(t_nTs)遊叫+由图1可以看出,口71是九是反码,0n和氐分别代表第n个信号马元的初始相位。在二进制移频键控信号中,鑫和氐不携带信息,通常可令鑫和氐为零。因此,二进制移频键控信号的时域表达式可化简为:昴-阻)n-n2FSK信号的产生方法有两种:(1)模拟法,即用数字基带信号

12、作为调制信号进行调频。(2)键控法,用数字基带信号g(t)及其反莎相分别控制两个开关门电路,以此对两个载波发生器进行选通。这两种方法产生的2FSK信号的波形基本相同,只有一点差异,即由调频器产生的2FSK信号在相邻码元之间的相位是连续的,而键控法产生的2FSK信号,则分别有两个独立的频率源产生两个不同频率的信号,故相邻码元的相位不一定是连续的。在2FSK信号中,当载波频率发生变化时,载波的相位一般来说是不连续的,这种信号称为不连续2FSK信号。相位不连续的2FSK通常用频率选择法产生。两个独立的振荡器作为两个频率发生器,他们受控于输入的二进制信号。二进制信号通过两个与门电路,控制其中的一个载波

13、通过。采用模拟调制框图如图2所示:I_I原in信号己调信号图2模拟调制框图采用键控法框图如下图3所示:图3数字键控法实现二进制移频键控信号的原理图2FSK信号的解调方式2.2.1非相干解调其解调原理是将2FSK信号分解为上下两路2ASK信号分别进行解调,然后进行判决(decision)。这里的抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限。判决规则应与调制规则相呼应,调制时若规定“1”符号对应载波频率f1,则接收时上支路的样值较大,应判为“1”;反之则判为“0”。经过调制后的2FSK数字信号通过两个频率不同的带通滤波器f1、f2滤出不需要的信号,然后再将这两种经过滤波的信号分别通过

14、包络检波器检波,最后将两种信号同时输入到抽样判决器同时外加抽样脉冲,最后解调出来的信号就是调制前的输入信号。其原理图如图4所示:fl图4非相干解调原理图包络检波法属于非相干解调法,其特点是:解调效率高,解调器输出近似为相干解调的2倍;解调电路简单,特别是接收端不需要与发送端同频同相位的载波信号,大大降低实现难度。2.2.2相干解调根据已调信号由两个载波f1、f2调制而成,则先用两个分别对f1、f2带通的滤波器对已调信号进行滤波,然后再分别将滤波后的信号与相应的载波f1、f2相乘进行相干解调,再分别低通滤波、用抽样信号进行抽样判决器即可。原理图如图5所示:cos(2Z)*抽样判决器L带通滤波器F

15、2抽样脉冲图5相干解调原理图FSK相干解调原理:假设通过加性高斯白噪声信道传输FSK信号。传输过程中存在延迟。因此输入到解调器的接收信号为图2.8,相干检测的具体解调电路是同步检波器,原理方框图如图所示。图中两个带通滤波器的作用同于包络检波法,起分路作用。它们的输出分别与相应的同步相干载波相乘,再分别经低通滤波器滤掉二倍频信号,取出含基带数字信息的低频信号,抽样判决器在抽样脉冲到来时对两个低频信号的抽样值进行比较判决,即可还原出基带数字信号。2.3高斯白噪声在分析通信系统的抗噪声性能时,常用高斯白噪声作为通信信道中的噪声模型。这是因为,通信系统中常见的热噪声近似为白噪声,且热噪声的取值恰好服从

16、高斯分布。另外,实际信道或滤波器的带宽存在一定限制,白噪声通过后,其结果是带限噪声,若其谱密度在通带范围内仍具有白色特性,则称其为带限白噪声,它又可以分为低通白噪声和带通白噪声。如果噪声的功率谱密度在所有频率上均有一常数,即P(f)二N.-2(双边)或P(f)二N(单边)n0n0式中N为正常数,则该噪声为白噪声,用n(t)表示。如果白噪声取值的概率分布服从高斯分布,则称之为高斯白噪声。我们常用它作为通信信道中的噪声模型。高斯白噪声在任意两个不同时刻上的随机变量不同,不仅是互不相关的,而且还是统计独立的。2.4误码率与信噪比(1)误码率由于种种原因,数字信号在传输过程中不可避免地会产生差错。例如

17、在传输过程中受到外界的干扰,或在通信系统内部由于各个组成部分的质量不够理想而使传送的信号发生畸变等。当受到的干扰或信号畸变达到一定程度时,就会产生差错误码率是衡量数字通信系统可靠性的重要指标之一,表达式如下所示:错误码元数P=e传输总码元数(2)信噪比信噪比也是度量通信系统通信质量可靠性的一个主要技术指标。信噪比的计量单位是dB,其计算方法是SNR=10lg(Ps/Pn),Ps和Pn分别是信号和噪声的有效功率下图为各种二进制数字调制系统中误码率与信噪比之间的关系的曲线图的比较。躺尸尸3KL+H二TWK心灾亠0f言上匕尸白勺|4空輦图6误码率Pe与信噪比r的关系曲线第三章2FSK调制与解调的仿真

18、实现3.12FSK调制的实现有FSK原理可知,调制过程要定义码率Fd和两个载波频率。根据奈奎斯特准则,要不失真的从抽样信号中恢复出原基带信号,其抽样频率至少为带频信号的两倍,因此在这里设的抽样频率Fs至少要比Fd和Fc大2倍以上,并且要是Fd的整数倍。FSK调制过程应该有两个载波,但在Matlab中采用Dmod函数进行FSK调制时,只设置一个载波频率Fc就行。例外,对于Matlab本身,它处理的信号本质上只能是数字信号,在处理连续信号图象时,要通过对信号进行采样,采样频率越高,得到的图形就应该看上去越连续,所以在这里取的采样频率比载波频率还要大的多。故在此设参数如下:Fc=10;%载频Fs=40;%系统采样频率Fd=1;%码速率df=10;%频率间隙运行得到下图所示结果:SIFigur

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论