版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 电气工程与自动化学院College of Electrical Engineering and AutomationEDAEDA与数字系统设计与数字系统设计教材:教材:EDAEDA与数字系统设计与数字系统设计李国丽李国丽 朱维勇朱维勇 何剑春主编何剑春主编 电气工程与自动化学院College of Electrical Engineering and Automation几点注意:几点注意: 1 1、遵守实验室纪律,保持实验室环境,注意电脑、遵守实验室纪律,保持实验室环境,注意电脑病毒病毒。每次实验开始前先签到,完成后汇报实验结。每次实验开始前先签到,完成后汇报实验结果,结束后必须整理实验台
2、。果,结束后必须整理实验台。 2 2、原则上不允许互借器件,尤其不要用、原则上不允许互借器件,尤其不要用U U盘互拷文盘互拷文件。所有工程文件夹建立在桌面上,不要乱翻硬盘件。所有工程文件夹建立在桌面上,不要乱翻硬盘里其他文件夹,可能激活残留的病毒。里其他文件夹,可能激活残留的病毒。 3 3、及时、及时备份备份,不要随意覆盖存档。,不要随意覆盖存档。 4 4、每天及时总结整理报告文档。、每天及时总结整理报告文档。 电气工程与自动化学院College of Electrical Engineering and Automation课程时间安排课程时间安排进度进度(AM(AM:08:30-11:30
3、08:30-11:30 PM PM:14:00-17:00)14:00-17:00)课程内容课程内容实践内容实践内容 0819 AM 0819 AMFPGAFPGA简介;简介;建立工程的方法;建立工程的方法;逻辑门逻辑门/ /译码器译码器模块;模块;(1 1、建立、建立/ /命名命名/ /保存;保存;2 2、分配引、分配引脚脚/ /时序图仿真;时序图仿真;3 3、下载、下载/ /调试;)调试;) 0819 PM 0819 PM模块封装模块封装/ /调用;调用;十六进制十六进制/ /任意进制任意进制计数器;计数器;(1 1、74160/74161/749074160/74161/7490芯片方案
4、;芯片方案;2 2、D D触发器方案;)触发器方案;) 0820 AM 0820 AMVHDLVHDL简介;简介;二十四进制、六十进制二十四进制、六十进制计数计数器模块器模块(VHDLVHDL方案);方案); 0820 PM 0820 PM数字钟设计;数字钟设计;校时校时模块;模块; 0821 AM 0821 AM闹钟闹钟模块;模块; 0821 PM 0821 PM系统系统联调、精简联调、精简; 0822 AM 0822 AM课程设计报告课程设计报告; 电气工程与自动化学院College of Electrical Engineering and AutomationFPGA FPGA FPG
5、AFPGA(FieldFieldProgrammable Gate ArrayProgrammable Gate Array),即),即现现场可编程门阵列场可编程门阵列,它是在,它是在PALPAL、GALGAL、CPLDCPLD等可编程等可编程器件的基础上进一步发展的产物。它是作为专用集器件的基础上进一步发展的产物。它是作为专用集成电路(成电路(ASICASIC)领域中的一种)领域中的一种半定制半定制电路而出现的,电路而出现的,既解决了定制电路的不足,又克服了原有可编程器既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。件门电路数有限的缺点。 电气工程与自动化学院College
6、 of Electrical Engineering and Automation Quartus Quartus上手上手 新建一个工程;新建一个工程; 创建文件;创建文件; 编译工程;编译工程; 分配引脚;分配引脚; 时序仿真;时序仿真; 下载调试;下载调试; 电气工程与自动化学院College of Electrical Engineering and Automation File - New Project WizardFile - New Project Wizard 第一个空格里填工程第一个空格里填工程文件夹保存地址,文件夹保存地址,地址地址不支持包含中文不支持包含中文; 第二个空
7、格填上工程第二个空格填上工程的名称(注意:与的名称(注意:与“模模块名块名”区分),不支持区分),不支持中文,且中文,且前三个字符不前三个字符不允许数字允许数字; 第三个空格默认与第第三个空格默认与第二个空格一致;二个空格一致; 电气工程与自动化学院College of Electrical Engineering and Automation NextNext 是否有已建立文件需要是否有已建立文件需要导入。没有直接下一步。导入。没有直接下一步。 电气工程与自动化学院College of Electrical Engineering and Automation NextNext 选择选择FP
8、GAFPGA的芯片型号。的芯片型号。必须与实际使用的必须与实际使用的FPGAFPGA芯片芯片型号一致型号一致,否则无法进行引,否则无法进行引脚分配。具体芯片型号刻蚀脚分配。具体芯片型号刻蚀在芯片正面。在芯片正面。系列系列引脚数引脚数 电气工程与自动化学院College of Electrical Engineering and Automation Quartus Quartus上手上手 新建一个工程;新建一个工程; 创建文件;创建文件; 编译工程;编译工程; 分配引脚;分配引脚; 时序仿真;时序仿真; 下载调试;下载调试; 电气工程与自动化学院College of Electrical En
9、gineering and Automation File - New - Block Diagram/Schematic File File - New - Block Diagram/Schematic File 该文件格式是大家熟悉的该文件格式是大家熟悉的电原理图形式。电原理图形式。 对于熟悉硬件描述语言的对于熟悉硬件描述语言的高级研发人员,多选择高级研发人员,多选择AHDLAHDL、VerilogVerilog HDL HDL或或VHDLVHDL格式。格式。 电气工程与自动化学院College of Electrical Engineering and Automation 加入模块加
10、入模块在绘图区双击鼠标左键,即弹出添加符号元件的窗口:在绘图区双击鼠标左键,即弹出添加符号元件的窗口:LogicLogic:基本逻辑:基本逻辑器件,如器件,如TTLTTL门电门电路、芯片路、芯片pinpin:引脚,输入:引脚,输入输出等输出等快速调用:按元件快速调用:按元件名搜索,如输入名搜索,如输入“VccVcc”、“7490”,7490”,快速调用电源。快速调用电源。 电气工程与自动化学院College of Electrical Engineering and Automation加入一个输入引脚,一个非门,一个输出引脚:加入一个输入引脚,一个非门,一个输出引脚: 给输入输出引脚命名给输
11、入输出引脚命名双击输入引脚原理图双击输入引脚原理图的图标,弹出属性框,如的图标,弹出属性框,如将上面输入命名为将上面输入命名为“in”in”。 电气工程与自动化学院College of Electrical Engineering and Automation 给引脚命名将方便后面的操作。给引脚命名将方便后面的操作。 另外还有一个好处:在系统中,另外还有一个好处:在系统中,两个引脚只要名称相同,即两个引脚只要名称相同,即认为它们是相连的认为它们是相连的。因此在电路器件较多连线交错的情况下,。因此在电路器件较多连线交错的情况下,采用这种采用这种“虚连虚连”的方法,可以大大降低工作量和错误率,的方
12、法,可以大大降低工作量和错误率,也使得电路更简洁清爽。也使得电路更简洁清爽。ld1.4ld1.4:这是一种:这是一种“总线总线”名,表示名,表示“ld1/ld2/ld3/ld4ld1/ld2/ld3/ld4这四根线这四根线”。 电气工程与自动化学院College of Electrical Engineering and Automation Quartus Quartus上手上手 新建一个工程;新建一个工程; 创建文件;创建文件; 编译工程;编译工程; 分配引脚;分配引脚; 时序仿真;时序仿真; 下载调试;下载调试; 电气工程与自动化学院College of Electrical Engin
13、eering and Automation Processing - StartCompilationProcessing - StartCompilation,或者:,或者: 电气工程与自动化学院College of Electrical Engineering and Automation Quartus Quartus上手上手 新建一个工程;新建一个工程; 创建文件;创建文件; 编译工程;编译工程; 分配引脚;分配引脚; 时序仿真;时序仿真; 下载调试;下载调试; 电气工程与自动化学院College of Electrical Engineering and Automation Ass
14、ignments - PinsAssignments - Pins,或者:,或者: 注意,许多引脚的功注意,许多引脚的功能相对受限,比如并能相对受限,比如并不是所有引脚都可以不是所有引脚都可以作为作为CLKCLK输入端,推输入端,推荐做荐做CLKCLK端的引脚也端的引脚也尽量不要用作尽量不要用作I/OI/O。 电气工程与自动化学院College of Electrical Engineering and Automation Quartus Quartus上手上手 新建一个工程;新建一个工程; 创建文件;创建文件; 编译工程;编译工程; 分配引脚;分配引脚; 时序仿真;时序仿真; 下载调试;下
15、载调试; 电气工程与自动化学院College of Electrical Engineering and Automation 如果没有硬件,可以建立一个时序仿真来检验工程的如果没有硬件,可以建立一个时序仿真来检验工程的效果。这不是一个必须的过程,但在效果。这不是一个必须的过程,但在DebugDebug的时候非的时候非常有用。常有用。 File - New - Vector Wave FileFile - New - Vector Wave File ,如下图所示:,如下图所示: 电气工程与自动化学院College of Electrical Engineering and Automatio
16、n 可以对工程中所有引脚逻辑进行仿真,也可仅对其中部可以对工程中所有引脚逻辑进行仿真,也可仅对其中部分引脚进行仿真,但选择的部分引脚必须满足所仿输出分引脚进行仿真,但选择的部分引脚必须满足所仿输出引脚的逻辑充分性。引脚的逻辑充分性。NameName区双击鼠标,弹出区双击鼠标,弹出要加入的引脚对话框要加入的引脚对话框点击点击“NodeFilderNodeFilder”选择选择“PinPin:all”all”,然,然后单击后单击“List”List”按钮按钮选择需要仿真的逻辑引脚,选择需要仿真的逻辑引脚,点击点击“”加入加入 电气工程与自动化学院College of Electrical Engi
17、neering and Automation 在加给定信号之前,先设置仿真时间。在加给定信号之前,先设置仿真时间。 Edit - End TimeEdit - End Time ,在该窗口中设置仿真的时间长短。,在该窗口中设置仿真的时间长短。 仿真时间未必一定与仿真时间未必一定与实际时间一致。比如实际时间一致。比如测试一个测试一个6060进制计数进制计数器,可以将器,可以将CLKCLK信号信号设置成设置成1000Hz1000Hz,这样,这样仿真时间只需要仿真时间只需要60ms60ms就足够了。就足够了。 电气工程与自动化学院College of Electrical Engineering a
18、nd Automation 接下来就是给要仿真的输入引脚加接下来就是给要仿真的输入引脚加给定信号给定信号。注意若输。注意若输出引脚也加入给定信号的话,仿真就失去意义了。出引脚也加入给定信号的话,仿真就失去意义了。输入波形输入波形 最常用的就是最常用的就是“时钟信号时钟信号”和和“高高/ /低电平低电平”三种。三种。输出波形输出波形 电气工程与自动化学院College of Electrical Engineering and Automation 给定高给定高/ /低电平,只需要拖选时间轴上特定区域,点击低电平,只需要拖选时间轴上特定区域,点击“激励源激励源”上需要的信号就可以了。上需要的信号
19、就可以了。 对于时钟信号则需要设置对于时钟信号则需要设置“起止时间起止时间”,“周期周期”,“相位相位”及及“占空比占空比”。开始时间开始时间终止时间终止时间信号频率信号频率初相位初相位占空比占空比 电气工程与自动化学院College of Electrical Engineering and Automation Processing - Start SimulationProcessing - Start Simulation,系统开始对电路进,系统开始对电路进行仿真。或者:行仿真。或者:0000 00000000 00000010 00110010 00110000 00000000 0
20、000 电气工程与自动化学院College of Electrical Engineering and Automation Quartus Quartus上手上手 新建一个工程;新建一个工程; 创建文件;创建文件; 编译工程;编译工程; 分配引脚;分配引脚; 时序仿真;时序仿真; 下载调试;下载调试; 电气工程与自动化学院College of Electrical Engineering and Automation Tools - Programmer Tools - Programmer , ,或者:或者: 首先,点击首先,点击“Hardware Setup”Hardware Setup
21、”查看设备接线是否查看设备接线是否正常,点击正常,点击“Model”Model”查看当查看当前模型是不是你要前模型是不是你要下载到下载到FPGAFPGA的模型,的模型,无误后点击无误后点击“Start”Start”。“Progress”Progress”达到达到100%100%就下载完成了。就下载完成了。 电气工程与自动化学院College of Electrical Engineering and Automation 模块封装模块封装/ /调用调用 选择选择“File”File”菜单中的菜单中的“Create/Update”Create/Update”子菜单子菜单中的中的“Create S
22、ymbol Files for Current File”Create Symbol Files for Current File” 命令。命令。 将将源工程源工程文件夹里的工程文件、原理图元件、模块文件夹里的工程文件、原理图元件、模块元件图拷贝到元件图拷贝到目的工程目的工程文件夹中,就可以在目的工文件夹中,就可以在目的工程中像调用基础元件一样调用封装的模块了程中像调用基础元件一样调用封装的模块了 电气工程与自动化学院College of Electrical Engineering and Automation VHDL VHDL语言语言 File- New - Device Design
23、File - VHDL FileFile- New - Device Design File - VHDL File VHDLVHDL文件的封装方式与文件的封装方式与SchematicSchematic文件一致,只是调文件一致,只是调用的时候要少拷贝一个原理图,代之以一个用的时候要少拷贝一个原理图,代之以一个代码文件代码文件。 电气工程与自动化学院College of Electrical Engineering and Automationlibrary ieee ;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ent
24、ity jsq34 is port(clk,reset:in std_logic;qh,ql:buffer std_logic_vector (3 downto 0);end jsq34;architecture one of jsq34 isbeginprocess (clk,reset)beginif(reset=0) then qh=0011;ql=0100;elsif (clkevent and clk=1) then if (qh=0000 and ql=0001)then qh=0011;ql=0100;elsif (ql=0000)then qh=qh-1;ql=1001;els
25、e ql=ql-1;定义总线定义总线定义端口定义端口 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计要求设计要求 设计一个能进行时、分、秒计时的二十四小时制设计一个能进行时、分、秒计时的二十四小时制的数字钟,并具有调时与闹钟功能,能在设定的的数字钟,并具有调时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报
26、秒进行手动调节以校准时间,每逢整点,产生报时音报时。时音报时。 电气工程与自动化学院College of Electrical Engineering and Automation 主控电路主控电路 计数器模块计数器模块 数码管显示数码管显示 设计提示设计提示 电气工程与自动化学院College of Electrical Engineering and Automation总体框图总体框图 电气工程与自动化学院College of Electrical Engineering and Automation 正常走时计数器模块:正常走时计数器模块:2424进制进制计算器计算器6060进制进制计
27、算器计算器6060进制进制计算器计算器VccVcc1Hz1HzclockclockCLKCLKCLKCLKCLKCLKCoCoCoCo数显数显数显数显数显数显 虚线内部分封装待用。虚线内部分封装待用。 电气工程与自动化学院College of Electrical Engineering and Automation 校时电路:校时电路:2424进制进制计算器计算器6060进制进制计算器计算器6060进制进制计算器计算器VccVcc1Hz1HzclockclockCLKCLKCLKCLKCLKCLKCoCoCoCo手动脉冲手动脉冲手动脉冲手动脉冲CLRCLR 如何用一个按键分别给如何用一个按键
28、分别给两个计数器加脉冲?两个计数器加脉冲? 电气工程与自动化学院College of Electrical Engineering and Automation “断开自动脉冲,转接手动脉冲断开自动脉冲,转接手动脉冲”的基本思想就是的基本思想就是“选通选通”,实际就是一个最简单的,实际就是一个最简单的“1-21-2译码器译码器”: 电气工程与自动化学院College of Electrical Engineering and Automation 闹铃模块闹铃模块: : 24 60 24 60 24 - 60 - 6024 - 60 - 601Hz1Hzclockclock 如何用同一组数显分
29、别显示两如何用同一组数显分别显示两个计数器组的状态?个计数器组的状态? 如何控制发声方式?如何控制发声方式?8 8输入输入与门与门逐位逐位“同或同或”扬声器扬声器手动脉冲手动脉冲 电气工程与自动化学院College of Electrical Engineering and Automation扬扬声声器器 直接将比较信号接扬声器将会产生长达直接将比较信号接扬声器将会产生长达60s60s的报警。应将其的报警。应将其转化成少量的断续信号,例如:转化成少量的断续信号,例如:1010个脉冲响声个脉冲响声。&1(60s)1(60s)十进制十进制计数器计数器CLKCLKCoCo6+6+进制进制计数器计数
30、器CLKCLKn n9 9:1 11Hz10s: 000010s: 0001 10s: 1111对对“0000”0000”译码译码比较信号比较信号ENENENEN1010 电气工程与自动化学院College of Electrical Engineering and Automation 模块精简模块精简: : 非同时作用的按键都可以功能合并,就数字钟来非同时作用的按键都可以功能合并,就数字钟来说就可以精简至说就可以精简至两个按键两个按键:一个:一个“功能键功能键”(实(实现状态切换),一个现状态切换),一个“脉冲键脉冲键”(使当前选中计(使当前选中计算器算器+1 +1 )。)。状态状态序号序
31、号逻辑状态逻辑状态输出特征输出特征0 0正常走时正常走时所有特殊功能模块都不选通所有特殊功能模块都不选通1 1时钟调时钟调“分分” 选通调时电路,开放脉冲键向选通调时电路,开放脉冲键向“分计数器分计数器”的通路的通路2 2时钟调时钟调“时时” 选通调时电路,开放脉冲键向选通调时电路,开放脉冲键向“时计数器时计数器”的通路的通路3 3闹钟设闹钟设“分分” 选通闹钟设置电路,开放脉冲键向选通闹钟设置电路,开放脉冲键向“分计数器分计数器”的通路的通路4 4闹钟设闹钟设“时时” 选通闹钟设置电路,开放脉冲键向选通闹钟设置电路,开放脉冲键向“时计数器时计数器”的通路的通路 电气工程与自动化学院Colle
32、ge of Electrical Engineering and Automation 设置一个随设置一个随“功能键功能键”的输入增计的五进制计数器,的输入增计的五进制计数器,与相应电路配合。除了计数器与相应电路配合。除了计数器+1+1之外所有模式切换,之外所有模式切换,例如调时、闹钟设置均由这一个键选择。例如调时、闹钟设置均由这一个键选择。 五进制五进制 计数器计数器CLKCLKA AB BC CD D模式选择键模式选择键(Key1)模式0激活 3-83-8 译码器译码器A AB BC CD DY0Y0Y1Y1Y2Y2Y3Y3Y4Y4模式1激活模式2激活模式3激活模式4激活 电气工程与自动化
33、学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation任务与要求任务与要求 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。间停在禁行线外。 用
34、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。测车辆是否到来的信号。 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。红灯。 主、支干道均有车时,两者交替允许通行,主干道每次放行主、支干道均有车时,两者交替允许通行,主干道每次放行4545秒,支干道每次放行秒,支干道每次放行2525秒,设立秒,设立4545秒、秒、2525秒计时、显示电路。秒计
35、时、显示电路。 在每次由绿灯亮到红灯亮的转换过程中,要亮在每次由绿灯亮到红灯亮的转换过程中,要亮5 5秒黄灯作为过秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立渡,使行驶中的车辆有时间停到禁行线外,设立5 5秒计时、显秒计时、显示电路。示电路。 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计思路设计思路 主、支干道用传感器检测车辆到来情况,实验电主、支干道用传感器检测车辆到来情况,实验电路用逻辑开
36、关代替。路用逻辑开关代替。 4545秒、秒、2525秒、秒、5 5秒定时信号可用顺计时,也可用倒秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,定时结束计时,计时起始信号由主控电路给出,定时结束信号也输入到主控电路,由主控电路启、闭三色信号也输入到主控电路,由主控电路启、闭三色信号灯或启动另一计时电路信号灯或启动另一计时电路。 电气工程与自动化学院College of Electrical Engineering and Automation设计思路设计思路 主控电路是核心,这是一个时序电路,其输入信号为:主控电路是核心,这是一个时序电路,其输入信号为: (1) (1) 车辆检
37、测信号车辆检测信号(A A,B B);); (2) 45(2) 45秒、秒、2525秒、秒、5 5秒定时信号秒定时信号(C C,D D,E E)。)。 其状态表为:其状态表为:状态状态 主干道主干道 支干道支干道 时间时间0 0 绿灯亮,允许通行绿灯亮,允许通行 红灯亮,禁止通行红灯亮,禁止通行 4545秒秒1 1 黄灯亮,停车黄灯亮,停车 红灯亮,禁止通行红灯亮,禁止通行 5 5秒秒2 2 红灯亮,禁止通行红灯亮,禁止通行 绿灯亮,允许通行绿灯亮,允许通行 2525秒秒3 3 红灯亮,禁止通行红灯亮,禁止通行 黄灯亮,停车黄灯亮,停车 5 5秒秒 电气工程与自动化学院College of E
38、lectrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation具体设计具体设计 时钟产生电路产生时钟产生电路产生1HZ1HZ系统时钟。系统时钟。 主控电路由两个主控电路由两个JKJK触发器和逻辑门构成,其输出经触发器和逻辑门构成,其输出经译码后,控制主干道三色灯译码后,控制主干道三色灯R R、G G、Y Y和支干道三色和支干道三色灯灯r r、g g、y y。 三个定时器分别产生三个定时器分别产生4545秒、秒、2525秒、秒、5 5秒定时信号,秒定时信号,电路都是计数
39、器,并受主控电路和来自主、支干道电路都是计数器,并受主控电路和来自主、支干道车辆信号控制,每当计满所需时间,即向主控电路车辆信号控制,每当计满所需时间,即向主控电路输出输出“时间到时间到”信号,并使计数器清零。信号,并使计数器清零。 电气工程与自动化学院College of Electrical Engineering and Automation 设:设:A A:主干道车辆情况,有车为主干道车辆情况,有车为“1”1”,无车为,无车为“0”0”B B:支干道车辆情况,有车为支干道车辆情况,有车为“1”1”,无车为,无车为“0”0”C C:4545秒定时信号,秒定时信号,4545秒定时已到为秒定
40、时已到为“1”1”,4545秒定时未秒定时未到为到为“0”0”D D:2525秒定时信号,秒定时信号,2525秒定时已到为秒定时已到为“1”1”,2525秒定时未秒定时未到为到为“0”0”E E:5 5秒定时信号,秒定时信号,5 5秒定时已到为秒定时已到为“1”1”,5 5秒定时未到秒定时未到为为“0”0” 电气工程与自动化学院College of Electrical Engineering and AutomationS0S1S2S3BAC=0BAC=1E=0E=1BAD=1BAD=0E=0E=1 电气工程与自动化学院College of Electrical Engineering an
41、d Automation状态编码:状态编码: S0=00 S1=01 S2=11 S3=10S0=00 S1=01 S2=11 S3=10若选若选JKJK触发器,其输出为触发器,其输出为Q2 Q1Q2 Q1则状态表为:则状态表为: A B C D E Q2A B C D E Q2n n Q1Q1n n Q2Q2n+1 n+1 Q1Q1n+1n+1 x 0 x x x 0 0 0 0 x 0 x x x 0 0 0 0 1 1 0 x x 0 0 0 0 1 1 0 x x 0 0 0 0 0 1 x x x 0 0 0 1 0 1 x x x 0 0 0 1 1 1 1 x x 0 0 0 1
42、 1 1 1 x x 0 0 0 1 x x x x 0 0 1 0 1 x x x x 0 0 1 0 1 x x x x 1 0 1 1 1 x x x x 1 0 1 1 1 1 1 x 0 x 1 1 1 1 1 1 x 0 x 1 1 1 1 0 1 x x x 1 1 1 1 0 1 x x x 1 1 1 1 x 0 x x x 1 1 1 0 x 0 x x x 1 1 1 0 x x x x 0 1 0 1 0 x x x x 0 1 0 1 0 x x x x x 1 0 0 0 x x x x x 1 0 0 0维持维持S0 45S0 45秒定时秒定时由由S0S1S0S1
43、维持维持S1S1由由S1S2S1S2维持维持S2S2 由由S2S3S2S3 维持维持S3S3 由由S3S0S3S05 5秒定时秒定时2525秒定时秒定时5 5秒定时秒定时 电气工程与自动化学院College of Electrical Engineering and Automation从状态表得状态方程从状态表得状态方程得触发器驱动方程:得触发器驱动方程:nnnnnQEQEQQQ211212)( )()(212111DABQQCABQQQnnnnn )(21CABQJn )(21DABQKn nEQJ12 EQKn 12 电气工程与自动化学院College of Electrical Eng
44、ineering and Automation45S45S、25S25S、5S5S定时器定时器CPCP脉冲驱动方程脉冲驱动方程脉脉冲冲CPEQQBAQQCP)(121245 脉脉冲冲CPEQQBQQCP121225 脉冲脉冲CPQQCP215 电气工程与自动化学院College of Electrical Engineering and Automation则主控电路和各定时电路如图则主控电路和各定时电路如图1-5-31-5-3所示所示 电气工程与自动化学院College of Electrical Engineering and Automation计数器驱动脉冲如图计数器驱动脉冲如图1-5
45、-41-5-4所示所示 电气工程与自动化学院College of Electrical Engineering and Automation译码驱动电路真值表译码驱动电路真值表 电气工程与自动化学院College of Electrical Engineering and Automation译码驱动电路如图译码驱动电路如图1-5-51-5-5所示所示 电气工程与自动化学院College of Electrical Engineering and Automation此例也可以完全由此例也可以完全由VerilogVerilog HDL HDL文件完成文件完成 电气工程与自动化学院College
46、 of Electrical Engineering and Automation具有四种信号灯的交通灯控制器具有四种信号灯的交通灯控制器 设计一个具有四种信号灯的交通灯控制器。设计一个具有四种信号灯的交通灯控制器。设计要求是:由一条主干道和一条支干道汇合成设计要求是:由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄、左拐十字路口,在每个入口处设置红、绿、黄、左拐允许四盏信号灯,红灯亮禁止通行,绿灯亮允许允许四盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。信号灯变换线外,
47、左拐灯亮允许车辆向左拐弯。信号灯变换次序为:主支干道交替允许通行,主干道每次放次序为:主支干道交替允许通行,主干道每次放行行40S40S,亮,亮5S5S红灯让行驶中的车辆有时间停到禁行红灯让行驶中的车辆有时间停到禁行线外,左拐放行线外,左拐放行1515秒,亮秒,亮5S5S红灯;支干道放行红灯;支干道放行30S30S,亮亮5S5S黄灯,左拐放行黄灯,左拐放行1515秒,亮秒,亮5S5S红灯红灯。各计。各计时电路为倒计时显示。时电路为倒计时显示。 电气工程与自动化学院College of Electrical Engineering and Automation系统框图如图系统框图如图4-7-14
48、-7-1所示所示 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计要求设计要求 设计一个能进行拔河游戏的电路。 电路使用15个(或9个)发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使
49、亮点恢复到中心。 由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。 用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计提示设计提示 加/减计数器 译码器 得分计数显示电路 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of E
50、lectrical Engineering and Automation设计要求设计一个可容纳四组参賽的数字式抢答器,每组设一个设计一个可容纳四组参賽的数字式抢答器,每组设一个按钮供抢答使用。抢答器具有第一信号鉴别和锁存功能,按钮供抢答使用。抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人使除第一抢答者外的按钮不起作用;设置一个主持人“复位复位”按钮,主持人复位后,开始抢答,第一信号鉴按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出器发出 秒的音响。秒的音响。*设置犯规
51、电路,对提前抢答和超时答题(例如设置犯规电路,对提前抢答和超时答题(例如3分钟)分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别。的组别鸣笛示警,并由组别显示电路显示出犯规组别。 设置一个计分电路,每组开始预置分,由主持人设置一个计分电路,每组开始预置分,由主持人记分,答对一次加分,答错一次减分。记分,答对一次加分,答错一次减分。 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计提示设计提示 鉴别锁存模
52、块 答题计时模块 计分电路模块 扫描显示模块 电气工程与自动化学院College of Electrical Engineering and Automation 1此模块的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。 2形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,控制扬声器发出音响,并启动答题计时电路。鉴别锁存模块 电气工程与自动化学院College of Electrical Engineering and Automation洗衣机控制器 电气工程与自动化学院College of Ele
53、ctrical Engineering and Automation设计要求设计要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电机作如下规律运转: 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电机的正、反转,如果定时时间到,则停机并发出音响信号。 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计提示设计提示 洗涤预置时间编码模块
54、 减法计数显示 时序电路 译码驱动模块 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计要求设计要求 1设计一个能测量方波信号频率的频率计,测量结果用十进制数显示。 2.测量的频率范围是110KHz,分成两个频段,即1999Hz,1KHz10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。3.具有自动校验和测量两种功能,即能用标准时钟校验测量精度。4.具有超量程报
55、警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 电气工程与自动化学院College of Electrical Engineering and Automation 电气工程与自动化学院College of Electrical Engineering and Automation设计提示设计提示 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为f=N/T,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 测量/校验选择模块* 计数器模块 送存选择报警模块 锁存模块 扫描显示模块 电气工
56、程与自动化学院College of Electrical Engineering and Automation测量/校验选择模块*输入信号:选择信号selet 被测信号meas 测试信号test输出信号:CP1当selet=0时,为测量状态,CP1=meas;当selet=1时,为校验状态,CP1=test。校验与测量共用一个电路,只是被测信号CP1不同而已。 电气工程与自动化学院College of Electrical Engineering and Automation 设置1秒定时信号(周期为2秒),在1秒定时时间内的所有被测信号送计数器输入端。 计数器对CP1信号进行计数,在1秒定时
57、结束后,将计数器结果送锁存器锁存,同时将计数器清零,为下一次采样测量做好准备。 电气工程与自动化学院College of Electrical Engineering and Automation 设置量程档控制开关K,单位显示信号Y,当K=0时,为1999Hz量程档,数码管显示的数值为被测信号频率值,Y显示绿色,即单位为Hz;当K=1时,为1KHz10KHz量程档,被测信号频率值为数码管显示的数值乘1000,Y显示红色,即单位为KHz。 电气工程与自动化学院College of Electrical Engineering and Automation 设置超出量程档测量范围示警信号aler
58、t。计数器由四级十进制计数构成(带进位C)。若被测信号频率小于1KHz(K=0),则计数器只进行三级十进制计数,最大显示值为999.Hz,如果被测信号频率超过此范围,示警信号驱动灯光、扬声器报警;若被测信号为1KHz10KHz(K=1),计数器进行四位十进制计数,取高三位显示,最大显示值为9.99KHz,如果被测信号频率超过此范围,报警。 电气工程与自动化学院College of Electrical Engineering and Automation左第一位左第一位 电气工程与自动化学院College of Electrical Engineering and Automation 电气工
59、程与自动化学院College of Electrical Engineering and Automation设计要求设计要求 设计一个电子密码锁,在锁开的状态下输入密码,密码共4位,用数据开关K1K10分别代表数字1、2、9、0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。用一位输出电平的状态代表锁的开闭状态。为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。 电气工程与自动化学院College o
60、f Electrical Engineering and Automation设计提示设计提示 密码输入删除控制模块 寄存模块 比较模块 扫描显示 模块 电气工程与自动化学院College of Electrical Engineering and Automation脉冲按键电话按键显示器 电气工程与自动化学院College of Electrical Engineering and Automation设计要求设计要求设计一个具有7位显示的电话按键显示器,显示器应能正确反映按键数字,显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前显示位,七位数字输入完毕后,电话接通,扬声器发出“
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 二零二五年新能源电池合资成立研发中心合同3篇
- 二手车交易补充合同(2024定制版)一
- 2025年新型农村水电施工及设施维护合同3篇
- 2025年度绿色环保型餐饮服务合同正规范本3篇
- 二零二五年度营业执照办理与租赁期房服务合同2篇
- 二零二五年酒店家具智能化改造与升级合同3篇
- 二零二五版泵车租赁与租赁期限及费用调整合同3篇
- 二零二五版基站建设场地使用权及网络建设合作协议3篇
- 2025年度餐饮行业员工职业培训与晋升合同3篇
- 二零二五年西餐厅连锁加盟与股份合作经营合同3篇
- 经方治疗脑梗塞的体会
- 新版DFMEA基础知识解析与运用-培训教材
- 制氮机操作安全规程
- 衡水市出租车驾驶员从业资格区域科目考试题库(全真题库)
- 护理安全用氧培训课件
- 《三国演义》中人物性格探析研究性课题报告
- 注册电气工程师公共基础高数辅导课件
- 土方劳务分包合同中铁十一局
- 乳腺导管原位癌
- 冷库管道应急预案
- 司法考试必背大全(涵盖所有法律考点)
评论
0/150
提交评论