EDA技术与VHDL DSP Builder设计深入学习教案_第1页
EDA技术与VHDL DSP Builder设计深入学习教案_第2页
EDA技术与VHDL DSP Builder设计深入学习教案_第3页
EDA技术与VHDL DSP Builder设计深入学习教案_第4页
EDA技术与VHDL DSP Builder设计深入学习教案_第5页
已阅读5页,还剩70页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、会计学1EDA技术技术(jsh)与与VHDL DSP Builder设设计深入计深入第一页,共75页。10.1.1 FIR10.1.1 FIR滤波器原理滤波器原理(yunl) (yunl) MkkkzbzH0)(10)()()(Liihinxny)()()(nhnxny)3()3()2()2() 1() 1 ()()0()(nxhnxhnxhnxhnh10-110-2 10-3 10-4 第1页/共75页第二页,共75页。10.1.1 FIR10.1.1 FIR滤波器原理滤波器原理(yunl) (yunl) z-1z-1z-1x(n)h(0)h(1)h(2)h(3)y(n)图图10-1 3阶阶

2、FIR滤波器结构滤波器结构(jigu)第2页/共75页第三页,共75页。10.1.2 10.1.2 使用使用(shyng)DSP Builder(shyng)DSP Builder设计设计FIRFIR滤波器滤波器 13阶常数系数阶常数系数(xsh)FIR滤波滤波器设计器设计 )3() 3 () 2() 2() 1() 1 ()() 0()(nxhnxhnxhnxhCnhq10-5 63)3(127)2(127) 1 (63)0(hhhh第3页/共75页第四页,共75页。10.1.2 10.1.2 使用使用(shyng)DSP Builder(shyng)DSP Builder设计设计FIRFI

3、R滤波器滤波器 13阶常数系数阶常数系数(xsh)FIR滤波器设滤波器设计计 图图10-1 3阶阶FIR滤波器结构滤波器结构(jigu)第4页/共75页第五页,共75页。10.1.2 10.1.2 使用使用(shyng)DSP Builder(shyng)DSP Builder设计设计FIRFIR滤波器滤波器 13阶常数阶常数(chngsh)系数系数FIR滤波滤波器设计器设计 Chirp Signal模块:模块:(Chirp Signal)库:库:Simulink中中Sources库库参数参数“Initial Frequency(Hz)”设为设为“0.1”参数参数“Target time”设为

4、设为“10”参数参数“Frequency at target time(Hz)”设为设为“1”参数参数“Interpret vectors parameters as 1-D”选中选中Gain模块:(模块:(Gain)库:库:Simulink中中Math Operations库库参数参数“Gain”设为设为“127”参数参数“Multiplication”设为设为“Element wise(K.*u)”Scope模块:(模块:(Scope)库:库:simulink中中sinks库库参数参数“Number of Axes”为为“2” 第5页/共75页第六页,共75页。10.1.2 10.1.2

5、使用使用(shyng)DSP Builder(shyng)DSP Builder设计设计FIRFIR滤波器滤波器 13阶常数阶常数(chngsh)系数系数FIR滤波滤波器设计器设计 图图10-3 FIR滤波器仿真结果滤波器仿真结果 第6页/共75页第七页,共75页。2、4阶阶FIR滤波器节设计滤波器节设计(shj)图图10-4 直接直接(zhji)I型型FIR滤波器结构滤波器结构 z-1z-1z-1x(n)h(0)h(1)h(2)h(k)youtxinz-1h(k-1)x(n-k)第7页/共75页第八页,共75页。z-1z-1z-1x(n-1)h(1)h(2)h(3)h(4)y4outz-1x

6、in2、4阶阶FIR滤波器节设计滤波器节设计(shj)图图10-5 直接直接(zhji)I型型4阶阶FIR滤波器节滤波器节4321)4()3()2() 1 ()(zhzhzhzhzH10-6 第8页/共75页第九页,共75页。2、4阶阶FIR滤波器节设计滤波器节设计(shj)图图10-6 直接直接(zhji)I型型4阶阶FIR滤波器节滤波器节 第9页/共75页第十页,共75页。2、4阶阶FIR滤波器节设计滤波器节设计(shj)Xin、hn0、hn1、hn2、hn3模块模块(m kui):(:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus T

7、ype”设为设为“signed Integer”参数参数“Node Type”设为设为“Input port”参数参数“number of bits”设为设为“9”yn模块模块(m kui):(:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Output port”参数参数“number of bits”设为设为“20”第10页/共75页第十一页,共75页。2、4阶阶FIR滤波器节设计滤波器节设计(shj)xn4模块:(模块:(Altbus)库:库:

8、Altera DSP Builder中中IO & Bus库库参数参数(cnsh)“Bus Type”设为设为“signed Integer”参数参数(cnsh)“Node Type”设为设为“Output port”参数参数(cnsh)“number of bits”设为设为“9”Parallel Adder Subtractor模块:(模块:(Parallel Adder Subtractor)库:库:Altera DSP Builder中中Arithmetic库库参数参数(cnsh)“Add(+)Sub(-)”设为设为“+”使用使用“Pipeline”参数参数(cnsh)“Clock Ph

9、aese Selectioon”设为设为“1 第11页/共75页第十二页,共75页。2、4阶阶FIR滤波器节设计滤波器节设计(shj)Delay、Delay1、Delay2、Delay3模块:(模块:(Delay)库:库:Altera DSP Builder中中Storage库库参数参数(cnsh)“Depth”设为设为“1”参数参数(cnsh)“Clock Phase Selection”设为设为“1” Product模块:(模块:(Product)库:库:Altera DSP Builder中中Arithemtic库库参数参数(cnsh)“Pipeline”设为设为“2”参数参数(cnsh

10、)“Clock Phase Selection”设为设为“1”不选择不选择“Use LPM” 第12页/共75页第十三页,共75页。3、16阶阶FIR滤波器模型滤波器模型(mxng)设计设计 图图10-7 fir4tap子系统子系统 第13页/共75页第十四页,共75页。3、16阶阶FIR滤波器模型滤波器模型(mxng)设计设计 图图10-8 fir4tap子系统内部子系统内部(nib)原理图原理图 第14页/共75页第十五页,共75页。3、16阶阶FIR滤波器模型滤波器模型(mxng)设计设计 图图10-9 16阶直接阶直接(zhji)I型型FIR滤波器模型滤波器模型 第15页/共75页第十

11、六页,共75页。3、16阶阶FIR滤波器模型滤波器模型(mxng)设设计计 xin模块模块(m kui):(:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为设为“Input port”参数参数“number of bits”设为设为“9”yout模块模块(m kui):(:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数“Bus Type”设为设为“signed Integer”参数参数“Node Type”设为

12、设为“Output port”参数参数“number of bits”设为设为“20”第16页/共75页第十七页,共75页。3、16阶阶FIR滤波器模型滤波器模型(mxng)设计设计 x16模块:(模块:(Altbus)库:库:Altera DSP Builder中中IO & Bus库库参数参数(cnsh)“Bus Type”设为设为“signed Integer”参数参数(cnsh)“Node Type”设为设为“Output port”参数参数(cnsh)“number of bits”设为设为“9”Parallel Adder Subtractor模块:(模块:(Parallel Add

13、er Subtractor)库:库:Altera DSP Builder中中Arithmetic库库参数参数(cnsh)“Add(+)Sub(-)”设为设为“+”使用使用“Pipeline”参数参数(cnsh)“Clock Phaese Selectioon”设为设为“1”h0、h1、h2、h3、h4、h5、h6、h7、h8、h9、h10、h11、h12、h13、h14、h15模块:(模块:(Delay)库:库:Altera DSP Builder中中IO & Bus库库参数参数(cnsh)“Bus Type”设为设为“Signed Integer”参数参数(cnsh)“number of b

14、its”设为设为“9” 第17页/共75页第十八页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设计的滤波器设计工具工具 1、打开、打开(d ki)Matlab的的FDATool 图图10-10 打开打开FDATool 第18页/共75页第十九页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器的滤波器设计工具设计工具 1、打开、打开(d ki)Matlab的的FDATool 图图10-11 FDATool界面界面 第19页/共75页第二十页,共75页。10.1.3 10.1

15、.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工具工具 2、选择、选择(xunz)Design Filter 滤波器转换(滤波器转换(TransForm Filer) 设置量化参数(设置量化参数(Set Quantization Parameters) 实现模型(实现模型(Realize Model) 导入滤波器(导入滤波器(Import Filter) 设计滤波器(设计滤波器(Design Filter)第20页/共75页第二十一页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设计工的滤波器设计

16、工具具 2、选择、选择(xunz)Design Filter161)(kkkzbzH1501)(kkkzbzzH10-7 10-8第21页/共75页第二十二页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设计的滤波器设计工具工具 3、滤波器分析、滤波器分析(fnx) 图图10-12 FIR滤波器的幅频响应滤波器的幅频响应 第22页/共75页第二十三页,共75页。10.1.3 10.1.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工具工具 3、滤波器分析、滤波器分析(fnx) 图图10-13 FIR

17、滤波器的相频响应滤波器的相频响应第23页/共75页第二十四页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设计的滤波器设计工具工具 3、滤波器分析、滤波器分析(fnx) 图图10-14 幅频响应与相频响应比较幅频响应与相频响应比较 第24页/共75页第二十五页,共75页。10.1.3 10.1.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工工具具 3、滤波器分析、滤波器分析(fnx) 图图10-15 FIR滤波器的群延时滤波器的群延时 第25页/共75页第二十六页,共75页。10.1.3 10.1

18、.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设的滤波器设计工具计工具 3、滤波器分析、滤波器分析(fnx) 图图10-16 FIR滤波器的冲激响应滤波器的冲激响应 第26页/共75页第二十七页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设计工的滤波器设计工具具 3、滤波器分析、滤波器分析(fnx) 图图10-17 FIR滤波器的阶跃响应滤波器的阶跃响应 第27页/共75页第二十八页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设的滤波器设计

19、工具计工具 3、滤波器分析、滤波器分析(fnx) 图图10-18 FIR滤波器的零极点滤波器的零极点 第28页/共75页第二十九页,共75页。10.1.3 10.1.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工具工具 3、滤波器分析、滤波器分析(fnx) 图图10-19 FIR滤波器系数滤波器系数 第29页/共75页第三十页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设计的滤波器设计工具工具 4、量化、量化 图图10-20 量化参数设置量化参数设置 第30页/共75页第三十一页,共75页。10

20、.1.3 10.1.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工具工具 4、量化、量化 图图10-21 量化优化设置量化优化设置(shzh) 第31页/共75页第三十二页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设的滤波器设计工具计工具 4、量化、量化 图图10-22 量化后系数量化后系数(xsh) 第32页/共75页第三十三页,共75页。10.1.3 10.1.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工工具具 4、量化、量化 图图10-23 量化后幅

21、频、相频响应量化后幅频、相频响应(xingyng) 第33页/共75页第三十四页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设的滤波器设计工具计工具 4、量化、量化 图图10-24 量化后噪声量化后噪声(zoshng)分析分析 第34页/共75页第三十五页,共75页。10.1.3 10.1.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工具工具 5、导出滤波器系数、导出滤波器系数(xsh) 图图10-25 导出系数对话框导出系数对话框 第35页/共75页第三十六页,共75页。10.1.3 10.1

22、.3 使用使用MatlabMatlab的滤波器设计的滤波器设计(shj)(shj)工具工具 6、修改、修改(xigi)FIR滤波器模型添加参数滤波器模型添加参数 图图10-26 16阶低通阶低通FIR滤波器滤波器 第36页/共75页第三十七页,共75页。10.1.3 10.1.3 使用使用(shyng)Matlab(shyng)Matlab的滤波器设的滤波器设计工具计工具 7、导出滤波器系数、导出滤波器系数(xsh)的另一种方法的另一种方法 把把FIR滤波器模型中的滤波器模型中的h1h16模块的参数模块的参数“Constant Value(常数值)(常数值)”设设置为:置为: Num(n)*(

23、28) 其中其中Num同上文所述,是同上文所述,是FDATool的系数导出,的系数导出,n用具体的数字来代替,如用具体的数字来代替,如h1模块用模块用Num(1)*(28),h2模块用模块用 Num(2)*(28)。 最后利用最后利用SingalCompiler,选定器件系列,把模型转成,选定器件系列,把模型转成VHDL文件,用文件,用QuartusII进行综合进行综合/适配,锁定管脚和下载至适配,锁定管脚和下载至FPGA中,就可以完成硬件实现了。中,就可以完成硬件实现了。 第37页/共75页第三十八页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shy

24、ng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-27 IP Core模块模块(m kui)库库 第38页/共75页第三十九页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 1、FIR滤波器核的使用滤波器核的使用(shyng) 2、配置、配置FIR滤波器器核滤波器器核 图图10-28 设置设置FIR Core参数参数 第39页/共75页第四十页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设

25、计FIRFIR滤波器滤波器 图图10-29 确定确定(qudng)FIR滤波器系数滤波器系数第40页/共75页第四十一页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-30 确定确定FIR工作工作(gngzu)方式方式第41页/共75页第四十二页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-31 FIR设定设定(sh dn)信息窗信息窗 第42页/共75页第四十三

26、页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-32 FIR滤波器核的测试滤波器核的测试(csh)电路模型电路模型第43页/共75页第四十四页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-33 Scope2显示显示(xinsh)波形波形 第44页/共75页第四十五页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)

27、FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-34 Scope1显示显示(xinsh)波形波形 第45页/共75页第四十六页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-35 P2频谱仪显示频谱仪显示(xinsh)波形波形 第46页/共75页第四十七页,共75页。10.1.4 10.1.4 使用使用(shyng)FIR IP Core(shyng)FIR IP Core设计设计FIRFIR滤波器滤波器 图图10-36 P1频谱仪显示频谱仪显示(xinsh)波

28、形波形 第47页/共75页第四十八页,共75页。1完成完成(wn chng)VHDL设计设计 【例例10-1】 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; Entity fir_vhdl is Port(clock : in std_logic;sclr: in std_logic:=0;data_in : in std_logic_vector(15 downto 0);data_out : out std_logic_vector(32 downto 0); end fir_vhdl;

29、第48页/共75页第四十九页,共75页。1完成完成(wn chng)VHDL设计设计 【例例10-2】LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.lpm_components.all;ENTITY final_add ISPORT ( data, datab : IN STD_LOGIC_VECTOR (32 DOWNTO 0); Clock, aclr : IN STD_LOGIC ; Result : OUT STD_LOGIC_VECTOR (32 DOWNTO 0) );END final_add; 第49页

30、/共75页第五十页,共75页。1完成完成(wn chng)VHDL设计设计 【例例10-3】 LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY four_mult_add ISPORT( clock0 : IN STD_LOGIC := 1; dataa_0 : IN STD_LOGIC_VECTOR (15 DOWNTO 0) := (OTHERS = 0); aclr3 : IN STD_LOGIC := 0; datab_

31、0 : IN STD_LOGIC_VECTOR (13 DOWNTO 0) := (OTHERS = 0); datab_1 : IN STD_LOGIC_VECTOR (13 DOWNTO 0) := (OTHERS = 0); datab_2 : IN STD_LOGIC_VECTOR (13 DOWNTO 0) := (OTHERS = 0); atab_3 : IN STD_LOGIC_VECTOR (13 DOWNTO 0) := (OTHERS = 0); shiftouta : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); result : OUT ST

32、D_LOGIC_VECTOR (31 DOWNTO 0) ); END four_mult_add; 第50页/共75页第五十一页,共75页。2调入调入HDL Import模块模块(m kui) 图图10-37 在一个在一个(y )Simulink空模型中调入一个空模型中调入一个(y )HDLImport模块模块 第51页/共75页第五十二页,共75页。3加入加入VHDL设计设计(shj)文件文件图图10-38 浏览浏览(li ln)到到3个个VHDL文件文件 第52页/共75页第五十三页,共75页。3加入加入(jir)VHDL设计文件设计文件图图10-39 加入加入(jir)3个个FIR设计

33、文件设计文件第53页/共75页第五十四页,共75页。4仿真仿真(fn zhn)图图10-40 构成构成(guchng)一个完整设计一个完整设计第54页/共75页第五十五页,共75页。4仿真仿真(fn zhn)图图10-41 图图10-40模型模型(mxng)的仿真波形的仿真波形 第55页/共75页第五十六页,共75页。ttatI0cos)()(ttbtQ0sin)()(ttbttatQtItX00sin)(cos)()()()(10-910-10第56页/共75页第五十七页,共75页。图图10-42 正交幅度正交幅度(fd)调制原理图调制原理图 第57页/共75页第五十八页,共75页。图图10

34、-43 正交幅度信号正交幅度信号(xnho)解调原理图解调原理图第58页/共75页第五十九页,共75页。10-1210-11tttbttattXvA00020cossin)(cos)(cos)(ttbttata002sin)(212cos)(21)(21tttattbttXvB00020cossin)(sin)(sin)(ttattatb002sin)(212cos)(21)(21)(2taKvC)(2tbKvD第59页/共75页第六十页,共75页。图图10-44 FIR滤波器核的测试电路滤波器核的测试电路(dinl)模型模型 第60页/共75页第六十一页,共75页。图图10-45 FIR滤波

35、器核的测试滤波器核的测试(csh)电路模型电路模型第61页/共75页第六十二页,共75页。图图10-46 FIR滤波器核的测试滤波器核的测试(csh)电路模型电路模型 第62页/共75页第六十三页,共75页。图图10-47 FIR滤波器核的测试电路滤波器核的测试电路(dinl)模型模型 第63页/共75页第六十四页,共75页。图图10-48 FIR滤波器核的测试滤波器核的测试(csh)电路模型电路模型第64页/共75页第六十五页,共75页。表表10-1 以纠正以纠正(jizhng)个符号错误的个符号错误的RS码参数码参数 10.5.1 RS10.5.1 RS码码12mnktkn212 tm参数

36、名称参数名称参数值参数值单位单位码长码长符号符号信息段信息段符号符号监督段监督段符号符号最小码距最小码距符号符号符号符号比特比特第65页/共75页第六十六页,共75页。图图10-49 RS Compiler与与DSP Builder集成集成(j chn)10.5.1 RS10.5.1 RS码码第66页/共75页第六十七页,共75页。图图10-50 选择选择(xunz)类型为类型为RS编码器编码器10.5.1 RS10.5.1 RS码码第67页/共75页第六十八页,共75页。图图10-51 确定确定(qudng)参数参数10.5.1 RS10.5.1 RS码码第68页/共75页第六十九页,共75页。图图10-52 选择选择(xunz)类型为类型为RS译码器译码器10.5.1 RS10.5.1 RS码码第69页/共75页第七十页,共75页。10.5.2 Viterbi译码译码 在卷积码的三种译码方式:门限译码、在卷积码的三种译码方式:门限译码、Viterbi译码、序列译码中译码、

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论