高频电路的集成化与系统设计_第1页
高频电路的集成化与系统设计_第2页
高频电路的集成化与系统设计_第3页
高频电路的集成化与系统设计_第4页
高频电路的集成化与系统设计_第5页
已阅读5页,还剩112页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第9章 高频电路的集成比与EDA第第9章高频电路的集成化与系统设章高频电路的集成化与系统设计计9.1 高频电路的集成化高频电路的集成化 9.2 高频集成电路高频集成电路 9.3 高频电路高频电路EDA9.4 高频电路系统设计高频电路系统设计第9章 高频电路的集成比与EDA9.1 高频电路的集成化高频电路的集成化9.1.1 高频集成电路的类型高频集成电路的类型集成电路是为了完成某种电子电路功能,以特定的工艺在单独的基片上或基片内形成并互连有关元器件,从而构成的微型电子电路。集成电路是微电子技术的一个方面,也是它的一个发展阶段,并也在按照自己的规律发展着。高频集成电路就是集成电路技术高度发展的产物

2、。近年来,随着高频固态器件技术和微电子技术的发展,各种高频集成电路层出不穷。但不论如何,这些高频集成电路都可以归纳为以下几种类型: 第9章 高频电路的集成比与EDA(1) 按照频率来划分,有高频集成电路、甚高频集成电路和微波集成电路(MIC)等几种。当然,根据频段的详细划分,高频集成电路也可以分得更细致。对于微波集成电路,又可以分为集中参数集成电路和分布参数集成电路两种。第9章 高频电路的集成比与EDA(2) 与普通集成电路一样,高频集成电路可分为单片高频集成电路(MHIC)和混合高频集成电路(HHIC)。混合高频集成电路是将多种不同类型的集成电路(如单片电路、普通集成电路甚至分立元件等)混合

3、而成的高频集成电路,其集成技术简单,制作容易,因此,初期的高频集成电路多为HHIC。单片高频集成电路则是将所有的有源器件(如晶体三极管或场效应管等)和无源元件(如电阻、电容和电感等)都沉积或生长在同一块半导体基片上或基片内。单片高频集成电路在初期主要是单元高频集成电路(如高频单片集成放大器、高频单片集成混频器、高频单片集成振荡器等)。随着技术的进步,MHIC的发展十分迅速,逐渐形成了各种不同功能的高频单片集成电路、单片集成前端甚至单片集成系统(包含高频前端)。第9章 高频电路的集成比与EDA(3) 从功能或用途上来分,高频集成电路有高频通用集成电路和高频专用集成电路(HFASIC)两种。高频通

4、用集成电路主要有高频集成放大器(包括宽带放大器、功率放大器、低噪声放大器(LNA)、对数放大器和可控增益放大器等)、高频集成混频器(Mixer)、高频集成乘法器、高频集成振荡器、高频开关电路、分频与倍频器和锁相环与频率合成器等,以及上述集成电路的相互组合。高频专用集成电路是用于专门用途的高频集成电路或系统,如正交调制解调器、单片调幅(AM)/调频(FM)接收机等。实际上,通用与专用并不一定有严格的界限。应当指出,有些电路,如高频变压器、高频滤波器、平衡/双平衡混频器等,严格来讲不是高频集成电路(而是高频组件),但不论从内部功能上还是从外部封装上来看,它们都与高频集成电路有相同的特点,因此,也可

5、以把它们归入高频集成电路之列。第9章 高频电路的集成比与EDA9.1.2 高频电路的集成化技术高频电路的集成化技术1 传统硅传统硅(Si)技术技术1958年美国得克萨斯仪器公司(TI)和仙童公司研制成功第一批集成电路,接着在1959年发明了制造硅平面晶体管的“平面工艺”,利用半导体平面工艺在硅片内制作元器件,并按电路要求在硅片表面制作互连导体,从而制成高密度平面化的集成电路,完善了集成电路的生产工艺。此后,人们利用此种硅平面技术先后制造出了大规模集成电路(LSIC)和超大规模集成电路(VLSIC)。但此时集成的固态器件基本上都是双极型晶体管(BJT)。20 世纪70年代后半期,场效应管(FET

6、)技术开始流行,在集成电路的集成工艺中,由场效应管工艺取代了双极型晶体管工艺,打破了双极型工艺一统天下的局面。场效应管是一种利用多子导电的单极型晶体管,第9章 高频电路的集成比与EDA由于多子浓度受温度、光照和核辐射等外界因素的影响较小,因此,其温度特性比双极型晶体管要好。也就是说,在环境条件变化较大的场合,用场效应管比较好。此外,金属氧化物场效应管(MOSFET)的输入阻抗高,工艺简单,功耗小,封装密度也较高,比较适合用于大规模、超大规模集成电路中。与双极型晶体管相比,跨导小、电路增益低是场效应管的主要缺陷。但不论采用双极型工艺,还是场效应管工艺,都是在硅平面上制作晶体管的“硅技术”。第9章

7、 高频电路的集成比与EDA利用传统硅技术制作的高频集成电路,具有制作工艺简单、功耗小、成本低等特点,但其工作频率受限,一般认为在12 GHz以上时不能适用。这主要是因为双极型晶体管在导电衬底上制作时, 衬底与集电极之间的电容太大,这是MOSFET增益太低的缘故。可以这样说,在2 GHz水平,硅占绝对优势。另外,新技术的开发与运用也会赋予传统的硅技术以新的生命力。例如,一种双多晶硅工艺的新双极技术,可以使器件的高频特性达到几十吉赫兹。HP和Norel半导体公司联合的硅技术双波段功率放大器模块,其特征频率f为25 GHz。若采用渠式隔离工艺,将寄生电容减小,会把特征频率fT提高到35GHz。第9章

8、 高频电路的集成比与EDA2 砷化钾砷化钾(GaAs)技术技术以砷化钾材料替代硅材料形成的砷化钾技术主要用在微波电路中。砷化钾集成电路自1974年由HP公司首创以来,一直用在微波系统中。作为无线通信用高频模拟集成电路的选择, 砷化钾器件也只是近几年的事情。砷化钾器件的结构主要有五种: 平面型肖特基势垒栅场效应管(简称平面型MESFET(金属半导体场效应管); 自对准型MESFET; 结型栅场效应管(JFET); 金属氧化物场效应管(MOSFET); 高电子迁移率晶体管(HEMT)。在砷化钾器件中,砷化钾MESFET,由于其频响、噪声、增益、功率应用等性能优于其它工艺,因而在前端(低噪声放大器(

9、LNA)、宽带放大、功率放大器、上/下变频器和微波开关等)或单片微波集成电路(MMIC)等微波固态器件中占有相当优势,成为高频和微波集成电路中十分重要的一种器件。 第9章 高频电路的集成比与EDA砷化钾MESFET的结构如图9-1所示,它是在一块半绝缘的砷化钾衬底上用外延法生长一层N型砷化钾层,在其两端分别引出源极和漏极,在两者之间引出栅极。对于砷化钾MESFET,栅长是一个决定最大工作频率(fmax)的关键参数。一般情况下,最大工作频率随栅长的缩短而提高。标准砷化钾MESFET的栅长为0.5 m,其对应的fmax为 18GHz; 高性能的砷化钾MESFET的栅长为0.25m,相应的fmax达

10、25GHz。第9章 高频电路的集成比与EDA图 9-1 砷化钾MESFET的结构第9章 高频电路的集成比与EDA首次出现于1980年的高电子迁移率晶体管(HEMT)可以最大限度地利用砷化钾的高电子迁移率的特性。耗尽型的HEMT场效应管是在半绝缘的GaAs衬底上连续生长不掺杂或轻掺杂的GaAs、掺硅的n型AlxGa1xAs层和掺硅的n型GaAs层,在AlxGa1xAs层内形成耗尽层。再利用AlGaAs和GaAs电子亲和力之差,在未掺杂的GaAs的表面之下形成二次电子气层,如图9-2所示。HEMT管的特点是特征频率更高、电流增益更大、噪声更小。假晶高电子迁移率晶体管(PHEMT)是一种与HEMT类

11、似的异质结器件,其工作频率较高,且电源电压低(小于3V)。提高MESFET的性能/价格比的措施之一就是采用假晶高电子迁移率晶体管工艺。GaAs MESFET和GaAs PHEMT器件一般需要双电源(正负电源)工作,通常需要先加负电源。这是使用砷化钾器件的一个缺点。第9章 高频电路的集成比与EDA图9-2 耗尽型的HEMT场效应管结构 第9章 高频电路的集成比与EDA另一种GaAs异质结器件GaAs HBT也越来越受关注,它属于改进型的双极晶体管,其发射极和基极被制作在不同材料的禁带中,如图9-3所示。其工作原理与普通硅结型NPN晶体管类似,但由于EB结为一阻挡空穴注入而不阻挡电子的势垒结构,减

12、少了传输时间和少子在发射极的存储,因此,其高频性能好,频率响应可达3 GHz甚至更高,跨导也很高。GaAs HBT由于采用双极工艺,可单电源工作。此外,GaAs HBT采用纵向结构(MESFET为单层水平结构),作为功率放大器比MESFET更有效。总之,用GaAs单晶材料制作的集成电路具有高频(可达30 GHz以上)、高速、低噪声、微功耗、宽温区、抗辐射等很多特性,但由于其成本高、工艺复杂,在频率不是非常高时其使用受到限制。 第9章 高频电路的集成比与EDA图 9-3 GaAs HBT结构第9章 高频电路的集成比与EDA3 硅锗硅锗(SiGe)技术技术鉴于集成电路在下一代无线通信设备中的重要地

13、位,芯片和无线通信设备厂商都十分迫切地希望集成电路,特别是射频(RF)集成电路在性能提高的同时,能大幅度地降低成本。但由于硅技术和GaAs技术的特点,它们都很难实现。被称为20世纪90年代新型光电子、微电子和 “第二代硅”材料的硅锗(SiGe)技术,以其特有的魅力受到半导体业界的高度重视,在20世纪90年代末异军突起,在1 GHz以上的射频范围应用越来越广泛。第9章 高频电路的集成比与EDA硅锗技术结合了硅和锗的优点,综合了硅技术和GaAs技术的特点。它是利用与GaAs材料特性相似的新的半导体材料,以传统的硅(晶体管和IC)工艺制成SiGe异质结双极晶体管。异质结双极晶体管的优点就是可以重新构

14、造普通硅半导体材料的能带间隙,从而改善硅晶体管的性能。在异质结双极晶体管的基极掺入适量锗(浓度按一定梯度变化),就可以获得新的半导体性质,改变能带间隙,提高晶体管的性能。由于锗原子比硅原子大4%,采用超高真空化学气相沉积法,可以将硅原子和锗原子很好地结合在一起,协调工作。硅锗技术的主要优点是工艺简单、低功耗、低成本、一致性好,频率特性介于传统硅器件和砷化钾器件之间。一种典型的SiGe HBT的电特性参数示于表9-1中。第9章 高频电路的集成比与EDA第9章 高频电路的集成比与EDA9.1.3 高频集成电路的发展趋势高频集成电路的发展趋势20世纪60年代出现的集成电路是电子技术发展史上的里程碑。

15、从IC诞生之日到现在,在大约40年的时间里, 经历了电路集成(CI)、功能集成(FI)、技术集成(TI)和知识集成(KI)四个阶段。每个阶段都有其本身的标志和特征。现在正处在技术集成(TI)和知识集成(KI)时期,但并不是现在所有的IC都具有这一时期的特征。也就是说,目前的IC是各个阶段、各种类型并存。下面仅就高频IC的发展趋势做一简单描述。第9章 高频电路的集成比与EDA1. 高集成度高集成度(更细工艺更细工艺)集成电路发展的核心是集成度的提高。从电路集成开始,IC的发展基本上是按照摩尔(Moore)定律(每三年芯片集成度增加四倍,特征尺寸减小30%)进行的,芯片的集成度由十几万个晶体管到几

16、十万、几百万个甚至达到上千万个晶体管; 封装的引线脚多达几百个, 集成在一块芯片上的功能也越来越多,甚至于集成电路的设计与制造模式也发生了很大的变化,出现了设计、制造、封装、测试等相对独立的“行业”,各“行”各司其职,各自发展,相得益彰。如今,包括高频IC在内的集成电路的发展仍然服从摩尔定律,而且,在相当长的一段时间(10年)内,这种发展态势不会改变。集成度的提高依赖于工艺技术的提高和新的制造方法。21世纪的IC将冲破来自工艺技术和物理因素等方面的限制继续高速发展,可以概括为: 第9章 高频电路的集成比与EDA1) (超)微细加工工艺超微细加工的关键是形成图形的曝光方式和光刻方法。当前主流技术

17、仍然是光学曝光,光刻方法已从接触式、接近式、反射投影式、步进投影式发展到步进扫描投影式。采用减少光源波长(由436 nm 和365 nm 的汞弧灯缩短到248 nm的KrF准分子激光源再到193nm的ArF准分子激光源)的方法可以将微细加工工艺从1m、0.8m 发展到0.5m、0.35m、0.25m,再提高到0.18 m、0.15 m甚至0.13 m的水平。采用 157nm的F2准分子激光光源进一步结合离轴照明以及移相掩膜(PSM)等技术,将使光学的曝光方法扩展到0.1m分辨率。对于小于0.1m的光刻将采用新的方法,如极紫外线(EUV)光学曝光法、X射线曝光法、 电子投影曝光(EPL)法、离子

18、投影曝光(IPL)法、电子束直写光刻(EBDW)等。第9章 高频电路的集成比与EDA2) 铜互连技术长期以来,芯片互连金属化层采用铝。器件与互连线的尺寸和间距不断缩小,互连线的电阻和电容急剧增加,对于0.18 m宽43 m长的铝和二氧化硅介质的互连延迟(大于10 ps)已超过了0.18 m晶体管的栅延迟(5 ps)。除了时间延迟以外,还产生了噪声容限,功率耗散和电迁移等问题。因此研究导电性能好、抗电迁移能力强的金属和低介电常数 (K3)的绝缘介质一直是一个重要的课题。第9章 高频电路的集成比与EDA1997年9月IBM和Motorola相继宣布开发成功以铜代铝制造IC的新技术即用电镀方法把铜沉

19、积在硅圆片上预先腐蚀的沟槽里,然后用化学机械抛光(CMP)使之平坦化。并于1998年末两公司先后生产出铜布线的商用高速PC芯片。Cu互连的优点为电阻率较Al低40,在保持同样的RC时间延迟下,可以减少金属布线的层数,而且芯片面积可缩小20%30%,其性能和可靠性均获得提高。Cu互连还存在一些问题,如Cu易扩散入Si和大多数电介质中,因此需要引入适当的阻挡层等。第9章 高频电路的集成比与EDA3) 低K介电材料技术由于IC互连金属层之间的绝缘介质采用SiO2或氮化硅,其介电常数分别接近4和7,造成互连线间较大的电容。因此研究与硅工艺兼容的低K介质也是重要的课题之一。 第9章 高频电路的集成比与E

20、DA2. 更大规模和单片化更大规模和单片化集成工艺的改进和集成度的提高直接导致集成电路规模的扩大。实际上,改进集成工艺和提高集成度的目的也正是为了制作更大规模的集成电路。20世纪90年代的硅工艺技术发展到现在的深亚微米工艺,芯片的集成度已大大超过1000万,已经足以将各种功能电路(A/D、D/A和RF电路等)甚至整个电子系统集成到单一芯片上,成为单片集成的片上系统(SOC,System On Chip)。当前,单片化的大规模集成电路的热点之一就是高频电路或射频电路的单片集成化。而这些集成电路在过去大多是用双极工艺或砷化钾工艺制作、以薄/厚膜技术实现的,现在基本上可以用CMOS工艺来实现,如用0

21、.5 m的标准CMOS工艺可以为GPS接收机和GSM手机提供性能/价格比优于GaAs的RF器件,工作频率可达1.8 GHz。当然,在集成电路向单片化发展的同时,并不妨碍独立的高频集成电路的发展。第9章 高频电路的集成比与EDA3. 更高频率更高频率随着无线通信频段向高端的扩展,势必也会开发出频率更高的高频集成电路。4. 数字化与智能化数字化与智能化随着数字技术和数字信号处理(DSP)技术的发展,越来越多的高频信号处理电路可以用数字和数字信号处理技术来实现,如数字上/下变频器、数字调制/解调器等。这种趋势也表现在高频集成电路中。从无线通信的角度来讲,高频集成电路数字化的趋势将越来越向天线端靠近,

22、这与软件无线电的发展趋势是一致的。所谓软件无线电(Software Radio), 就是用软件来控制无线电通信系统各个模块(放大器、调制/解调器、数控振荡器、滤波器等)的不同参数(频率、增益、功率、带宽、调制解调方式、阻抗等),以实现不同的功能。第9章 高频电路的集成比与EDA片上系统或大规模的单片集成电路中通常不仅有高频集成电路的成分,而且包含大量的其它数字型和模拟型电路,使整个集成电路的“硬件”很难区分出高频集成电路和其它集成电路。在此片上系统或大规模的单片集成电路中还经常嵌入有系统运行涉及的算法、指令、驱动模式等“软件”,配合“硬件”中的数字信号处理(DSP)器、微处理器(MPU)、各种

23、存储器(如ROM、RAM、E2ROM、Flash ROM)等单元或模块,可以实现智能化。 高频电路集成化存在的主要问题是,除了一般集成电路都存在的工艺、成本和功耗、体积问题之外,电感、大电容、选择性滤波器等很难集成。对于无线通信,理想的集成化收发信机,应该是除天线、收发和频道开关/音量电位器、终端设备及选择性滤波器之外,其它电路都由集成电路或单片集成电路来完成。当然,目前要做到这一点还是有一定困难的。但是,随着技术的发展,收发信机的完全集成化不是不能实现的。第9章 高频电路的集成比与EDA9.2 高频集成电路高频集成电路9.2.1 高频单元集成电路高频单元集成电路这里的高频单元集成电路,指的是

24、完成某一单一功能的高频集成电路,如集成的高频放大器 (低噪声放大器、宽带高频放大器、高频功率放大器)、高频集成乘法器(可用做混频器、调制解调器等)、高频混频器、高频集成振荡器等,其功能和性能通常具有一定的通用性。这类高频集成电路非常多,这里就不罗列了。第9章 高频电路的集成比与EDA9.2.2 高频组合集成电路高频组合集成电路高频组合集成电路是集成了某几个高频单元集成电路和其它电路来完成某种特定功能的集成电路。比如MC13155是一种宽带调频中频集成电路,它是为卫星电视、宽带数据和模拟调频应用而设计的调频解调器,具有很高的中频增益(典型值为46 dB功率增益),12 MHz的视频/基带解调器,

25、同时具有接收信号强度指示(RSSI)功能(动态范围约35 dB)。MC13155的内部框图如图9-4所示。第9章 高频电路的集成比与EDA图 9-4 MC13155的内部框图第9章 高频电路的集成比与EDAAD607为一种3 V低功耗的接收机中频子系统芯片,它带有自动增益控制(AGC)的接收信号强度指示功能,可广泛应用于GSM、CDMA、TDMA和TETRA等通信系统的接收机、卫星终端和便携式通信设备中。AD607的引脚如图9-5所示。它提供了实现完整的低功耗、单变频接收机或双变频接收机所需的大部分电路,其输入频率最大为500 MHz,中频输入为400 kHz到12 MHz。内部I/Q解调器和

26、相应的锁相环路可提供载波恢复,并支持多种调制模式,包括MPSK、MQAM和AM。在中等增益时,使用3 V的单电源(最小2.7 V,最大5.5 V) 的典型电流消耗为8.5mA。第9章 高频电路的集成比与EDA图 9-5 AD607的引脚图第9章 高频电路的集成比与EDAAD607的内部功能框图如图9-6所示。它包含了一个可变增益UHF混频器和线性四级IF放大器,可提供的电压控制增益范围大于90 dB。混频级后是双解调器,各包含一个乘法器,后接一个双极点2 MHz的低通滤波器,由一锁相环路驱动,该锁相环路同时提供同相和正交时钟。芯片还包含有内部的AGC检测器,温度稳定增益控制系统用于提供准确的R

27、SSI输出。另外,AD607芯片还具有与CMOS兼容的功耗控制偏置系统。第9章 高频电路的集成比与EDA图 9-6 AD607的内部功能框图第9章 高频电路的集成比与EDAAD607的UHF混频器采用改进型的Gilbert类型单元设计,可在低频至 500 MHz的频率范围内工作。混频器输入端动态范围的高端由RFHI和RFLO间的最大输入信号电平确定,而低端则由噪声电平确定。混频器的射频输入端是差分的,因此RFLO端和RFHI端在功能上是完全相同的, 这些节点在内部予以偏置,一般假定RFLO交流耦合到地。RF端口可建模为并联RC电路。第9章 高频电路的集成比与EDAI路的解调器和Q路的解调器提供

28、了正交基带输出,与中频保持相锁定的正交VCO驱动I和Q解调器。当AD607的正交VCO与输入信号保持相位锁定时,I和Q解调器还可解调AM信号。该VCO还可与外部的拍频振荡器保持相位锁定,这时解调器用作CW或SSB接收的乘积检测器。AD607还可用于解调BPSK信号,这时外部的Costas环路用于载波恢复。MRFIC1502是一个用于GPS接收机的下变换器,内部不仅集成有混频器(MIXER),而且还集成有压控振荡器(VCO)、分频器、锁相环和环路滤波器,如图9-7所示。MRFIC1502 具有65 dB的变换增益,功能强大,应用方便。第9章 高频电路的集成比与EDA图 9-7 MRFIC1502

29、内部框图第9章 高频电路的集成比与EDA9.2.3 高频系统集成电路高频系统集成电路高频系统集成电路就是可以完成某种系统功能的高频发射机、高频接收机和高频收发信机集成电路。随着无线通信技术的飞速发展, 以及手持式、移动设备的大量出现, 包含有无线收发器的高频系统集成电路层出不穷。具有代表性的通用高频系统集成电路主要有Nordic公司的nRF系列, Chipcon AS公司的CC系列与RFMD公司的TR系列, 当然也有许多用于无线局域网、蓝牙系统等领域的专用高频系统集成电路。第9章 高频电路的集成比与EDAnRF401是一款单片无线收发芯片,它集成了高频发射、高频接收、PLL合成、FSK 调制、

30、FSK解调、多频道切换等功能,具有性能优异、外围元件少、功耗低、使用方便等特点,可广泛应用于无线数据传输系统的产品设计中。nRF401无线收发芯片的内部结构如图9-8所示。表9-2所列为其主要电气性能指标。nRF401单片无线收发芯片工作频率为国际通用的数传频段433 MHz,由于采用了低发射功率、高接收灵敏度的设计,使用无需申请许可证,开阔地的使用距离最远可达1000 m; 采用DSS+PLL频率合成技术,频率稳定性极好; 具有多个频道,可方便地切换工作频率,特别适用于需要多信道工作的特殊场合; 芯片外部只需接一个晶体和几个阻容、电感元件,基本无需调试。第9章 高频电路的集成比与EDA图 9

31、-8 nRF401内部结构第9章 高频电路的集成比与EDACC2400是由Chipcon AS公司推出的工作在2.42.5 GHz ISM频段的一款单片射频收发芯片。该芯片集成度高, 需要外部元器件少, 功耗低(接收时电流消耗23 mA), 接收灵敏度高(87 dBm1Mb/s,BER=103), 支持完整数据包处理(内部支持数据打包、 CRC校验和数据编码), 启动时间快, 多种低功率模式,节电方便(供电电压为1.62.0 V)。它采用GFSK和FSK调制方式, 能够提供10 kb/s、250 kb/s和1 Mb/s的数据传输率。 CC2400芯片内部结构如图9-9所示。芯片内置有射频功率放

32、大器、低噪声放大器、振荡器、频率合成器、90 相移器、混频器、高斯滤波器、A/D、D/A转换器、数字调制器、数字解调器、控制逻辑单元和FIFO数字接口。第9章 高频电路的集成比与EDA图 9-9 CC2400芯片内部结构第9章 高频电路的集成比与EDA第9章 高频电路的集成比与EDACC2400的外围电路可以分为时钟提供电路, 输入输出匹配电路和微控制器接口电路三个部分, 需要极少的外围元器件, 其典型应用电路如图9-10所示。时钟可以由外部有源晶振直接提供,也可以由内部电路提供。由内部电路提供时, 一般使用16 MHz20 ppm的晶体,18 pF5%的电容即可。输入输出匹配电路主要用来平衡

33、芯片的输入输出阻抗, 使其输入输出阻抗为50 ,同时L61、L62为PA及LNA提供直流偏置, 而L71用来隔离TXRX-SWITCH管脚。与微控制器的接口电路分为SPI总线接口与可选的控制及数据输入输出接口, 主要用来对CC2400控制寄存器进行读写, 控制芯片的收发模式及数据的输入输出。为了更好地理解高频集成电路的发展过程,下面以调频接收机的集成化发展为例来说明。第9章 高频电路的集成比与EDA图 9-10 CC2400典型应用电路第9章 高频电路的集成比与EDA初期的调频接收机的集成化,主要是单元电路的集成化。接收机分成低放、中放限幅及鉴频、本振及前端电路三大部分。低放集成块已有很多,如

34、国内产品有5G31、X73等。中放集成块也不少,如5G3Z、X723、6520等。它们主要是供调频广播接收机、电视伴音中放、高质量调频接收机及电台应用。为了减少外接元件及由本振、混频带来的不便,通信机集成中放一般采取一次变频方案。常用的中频数值为10.7 MHz。在集成电路中,放大部分都采用差分电路,用射极跟随器实现级间直接耦合。这种放大兼有限幅功能,在限幅电平以上,输出电压极其平稳。调频广播及电视伴音都属宽带调频,其鉴频器回路Q值要求较低。但对于窄带调频接收机,回路Q值应较高,且应有较高的标准性,并采取温度补偿。如能采用晶体鉴频器或锁相解调更好。第9章 高频电路的集成比与EDA随着集成度的提

35、高,常把主中放、限幅器、鉴频器、前置低放、静噪等电路集成在一起。还可加入AGC(自动增益控制)、AFC(自动频率控制)、调谐指示等功能电路组成一个组件,这样具有较大的通用性。 在分立元件接收机中,中放的噪声对整个接收机噪声的影响是小的,通常无需考虑噪声系数要求。但集成电路的噪声一般较大,所以对前端的中放集成块还应有低噪声的要求。此外由于波道数大量增加,并要求具有频道预置、自动切换等功能,接收机中频率合成器也必须集成化。 第9章 高频电路的集成比与EDA前端电路实现集成化,存在着以下问题:(1) 前端电路中LC调谐电路较多; (2) 在VHF频段,集成化晶体管的fT及噪声系数等特性比分立晶体管差

36、。但随着半导体制造技术的发展及各种超小型元件的出现,以上问题可逐步得到解决。调频接收机部分前端电路己经实现了集成化,如单片IC2N7254。这类电路中,混频器采用通常的双平衡式乘法电路(差分电路),本振电路通常为集电极接地的考毕兹电路,在本振电路与混频器之间有一缓冲放大器,以防止输入信号对本振电路产生影响。现在,已经出现了包括FM、AM功能在内的集射频、中频、解调和低放于一体的高集成度单片集成电路,如MC3362/3等。图9-11为MC3363 组成框图。第9章 高频电路的集成比与EDA图 9-11 MC3363组成框图第9章 高频电路的集成比与EDA9.3 高频电路高频电路EDA9.3.1

37、EDA技术及其发展技术及其发展人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管; 后者的核心就是EDA技术。EDA(Electronics Design Automation)即电子设计自动化技术,是指以计算机为基本工作平台,融合了应用电子技术、计算机技术、计算数学、拓扑逻辑学和智能化技术等最新成果而开发出来的电子设计软

38、件工具,主要进行三方面的设计工作: IC设计,电子电路设计以及PCB设计。没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的。反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。第9章 高频电路的集成比与EDAEDA技术的发展可分为三个阶段: 计算机辅助设计(CAD)阶段。20世纪年代,随着中小规模集成电路的开发应用,传统的手工制图设计印刷电路板和集成电路的方法已无法满足设计精度和效率的要求,因此,工程师们开始进行二维平面图形的计算机辅助设计,主要是用计算机辅助进行IC版图编辑和PCB布局布线,这就是第一代的DA工具。第9章 高频电路的集成比与EDA计算机辅助工程(

39、CAE)阶段。到了20世纪80年代,CAD已不能适应电子产品在规模和制作上的需要,这样,就产生了第二代的技术计算机辅助工程。CAE的主要功能是: 原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。与CAD相比,它除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计。其特点是以软件工具为核心,通过这些软件完成产品开发的设计、分析、生产、测试等各项工作。第9章 高频电路的集成比与EDA电子系统设计自动化(ESDA)阶段。尽管CAD/CAE技术取得了巨大的成功,但并没有把人们从繁重的设计工作中彻底解放出来。在整个设计过程中,自动化

40、和智能化程度还不高。各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。20世纪90年代以后,随着EDA技术的继续发展,出现了以高级语言描述、系统级仿真和综合技术为特征的第三代EDA技术电子系统设计自动化。它的出现,极大地提高了系统设计的效率,使广大的电子设计师开始实现“概念驱动工程”的梦想。设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,极大地提高了设计效率,缩短了产品的研制周期。 第9章 高频电路的集成比与EDA9.3.2 EDA技术的特征与技术的特征与EDA方法方法现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能

41、力。它主要采用并行工程和“自顶向下(Top Down)”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等等。然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL、Verilog HDL、HDL、ABEL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。近几年来,硬件描述语言等设计数据格式的逐步标准化、不同设计风格和应用的要求导致各具特色的EDA工具被集成在同一个工作站上,从而使EDA

42、框架日趋标准化。第9章 高频电路的集成比与EDAEDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范,目前主要的EDA系统都建立了框架结构,如Cadence公司的Design Framework,Mentor公司的Falcon Framework等,这些框架结构都遵守国际CFI组织(CAD Framework Initiative)制定的统一技术标准。Framework能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间在整个产品开发过程中实现信息的传输与共享,这是并行工程和Top Down设计方法的实现基础。E

43、DA技术的基本设计方法主要包括系统级设计、电路级设计和物理级设计。物理级设计一般由半导体厂家完成,对电子工程师最有意义的是系统级设计和电路级设计。第9章 高频电路的集成比与EDA电路级设计工作从确定设计方案开始,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析、瞬态分析。系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制

44、作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并且可以将分析后的结果参数反馈回电路图,进行第二次仿真,也称为后仿真。这一次仿真主要是检验PCB板在实际工作环境中的可行性。第9章 高频电路的集成比与EDA由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面地了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,这不仅缩短了开发时间,也降低了开发成本。系统级的设计是一种“概念驱动式”设计,设计人员无须进行电路级设计,因此可以把精力集中于创造性的概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA

45、系统就能以规则驱动的方式自动完成整个设计。这样,新的概念得以迅速有效的成为产品,大大缩短了产品的研制周期。此外,系统级设计只涉及系统的行为特性,而不涉及实现工艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化的网表,工艺转化变得轻松容易。第9章 高频电路的集成比与EDA9.3.3 EDA工具工具EDA工具的发展经历了两个大的阶段: 物理工具和逻辑工具。物理工具用来完成设计中的实际物理问题,如芯片布局、印刷电路板布线等等; 逻辑工具是基于网表、布尔逻辑、传输时序等概念,首先由原理图编辑器或硬件描述语言进行设计输入,然后利用EDA系统完成综合、仿真、优化等过程,最后生

46、成物理工具可以接受的网表或VHDL、Verilog HDL的结构化描述。现在常见的EDA工具有编辑器、仿真器、检查/分析工具、优化/综合工具等等。不同设计风格和应用要求的EDA工具通常都以EDA软件包的形式被集成在工作站或计算机上。目前,国内使用的EDA软件很多,大多功能强大、完整, 最常用的主要有: 第9章 高频电路的集成比与EDA(1) PROTEL: PROTEL是PROTEL公司在20世纪80年代末推出的EDA软件。在电子行业的CAD软件中。它是电子设计者的首选软件。它较早就在国内开始使用,在国内的普及率也最高。早期的PROTEL主要作为印制板自动布线工具使用,运行在DOS环境,对硬件

47、的要求很低,在无硬盘286机的1 MB内存下就能运行。但其功能也较少,只有电原理图绘制与印制板设计功能,其印制板自动布线的布通率也低。而现今的PROTEL已发展到PROTEL2000,是一个完整的板级全方位电子设计系统,它包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印制电路板设计 (包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能,并具有Client/Server (客户/服务器)体系结构。同时还兼容一些其它设计软件的文件格式,如ORCAD,PSPICE,EXCEL等。其多层印制线路板的自动布线可实现高密度PCB的100布通率。第9章 高频电路

48、的集成比与EDA(2) ORCAD: ORCAD是由ORCAD公司于20世纪80年代末推出的EDA软件,它是世界上使用最广的功能强大的EDA软件。它集成了电原理图绘制、印制电路板设计、数字电路仿真、可编程逻辑器件设计、模拟与数字电路混合仿真等功能。而且它的界面友好且直观,元器件库丰富,仅是电路仿真的元器件库就有8500个,收入了几乎所有的通用型电子元器件模块。第9章 高频电路的集成比与EDA(3) PSPICE: 它是较早出现的EDA软件之一,1985年就由MICROSIM公司推出。它在电路仿真方面的功能很强,已被并入ORCAD等大型EDA软件,在国内使用非常普遍。整个软件由原理图编辑、电路仿

49、真、激励编辑、元器件库编辑、波形图等几个部分组成,使用时是一个整体,但各个部分各有各的窗口。最新推出的版本为PSPICE9.1,工作于Windows 95/98/NT平台上,是功能强大的模拟电路和数字电路混合仿真的EDA软件。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出,并可在同一个窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,包括IGBT、脉宽调制电路、模/数转换、数/模转换等,都可以得到精确的仿真结果。对于库中没有的元器件模块,还可以自己编辑。第9章 高频电路的集成比与EDA(4) EAD2000: 这是一个纯国产的EDA软件,

50、主要应用于电子线路图、印制电路板和电气工程图的计算机辅助自动化设计。它具有完整的绘图、输出、建库、自动化布局布线、设计优化、标准化等功能。(5) MATLAB: MATLAB本是一个由美国Math Works公司推出的用于数值计算和信号处理的数学计算软件包,但随着版本的不断升级,不同应用领域的专用库函数和模块汇集起来作为工具箱添加到软件包中,其功能越来越强大。利用通信系统工具箱等,可以进行系统级的通信系统设计与仿真。第9章 高频电路的集成比与EDA(6) Cadence: 它是由Cadence公司推出的高级EDA软件,它可以完成原理图设计、模拟数字仿真及混合仿真、PCB板设计与制作,还可以进行

51、PIC,ASIC的设计仿真等。Cadence软件具有大量的元器件库和功能模块,用户界面非常友好,菜单显示直接方便。但该软件占据空间较大,调用时间长,一般装于工作站上,才能满足设计者的操作需要。它使用UNIX操作系统,这要求操作者对UNIX系统运行环境有足够的了解。第9章 高频电路的集成比与EDA(7) Eesof: 这是HP(现为Agilent)公司推出的专门用于高频和微波电路设计与分析的专业EDA软件,主要包括ADS(Advanced Design System)、MDS/RFDS(Microwave Design System)。它收录有较为完备的各大公司的元器件和集成电路的性能参数及封装

52、信息,不仅可以对高频及微波系统进行系统级和电路级的设计与分析,而且可以进行电路板级等仿真分析以及电磁兼容分析、热分析、稳定性分析和灵敏度分析等,功能强大。利用该公司提供的RF编译器,还可以根据用户自己定义的技术规范和允许采用的零部件清单,从行为级的描述开始,直接综合RF线路。此RF编译器自动选择一种线路结构,计算元器件的数值,并和ADS结合使用,生成可以工作的线路原理图。因此,在高频和微波领域应用较为普遍。第9章 高频电路的集成比与EDA另外, 还有许多小型(只有几MB到几十MB, 最多几百MB)的高频电路EDA软件,它们一般只有单一功能或某一方面的功能, 且很多为免费软件或费用很低。其中有的

53、软件可在有关网站上下载,有的可以在线(online)仿真。这里列举一些这样的工具。(1) AppCAD: 这是Agilent公司开发的免费Windows版程序(早期版本只能在DOS操作系统下工作)。它能够帮助工程师们快速设计BJT(双极型晶体管)、FET(场效应管)和MMIC(微波单片集成电路)的偏置网络、检波器电路、微带线、带状传输线等。它还有一个反射计算器用来为所需的输入输出阻抗计算电压驻波比(VSWR)、回波损耗和失配损耗; 一个噪声计算器用来计算接收器的噪声系数NF;用于无源元件的标准值计算器。当前的版本是V3.0.2,可以进行复数匹配计算、混频器的杂散计算和交调互调计算,并增加了可靠

54、性设计的内容。第9章 高频电路的集成比与EDA(2) RFSim99: 它是由HYDesign公司开发的一个基于线性S参数的电路仿真器,也是一个免费软件。它有一个RF计算器, 可计算频率与波长、谐振回路、热噪声、信号电平和回波损耗 (或驻波比);它可以对无源的空芯电感、印制板电感进行计算,对微带线、带状线、同轴电缆、扁平双线进行计算,对电容器、耦合线和功分器进行计算; 它可以对射频的匹配电路、衰减电路和滤波器电路进行设计与计算。第9章 高频电路的集成比与EDA(3) Multisim: Multisim是加拿大IIT公司在原有EWB(Electronics Workbench)电子线路仿真软件

55、基础上的升级软件。它可以对模拟、数字和模拟/数字混合电路进行仿真,用虚拟的元件搭建各种电路,用虚拟的仪表进行各种参数和性能指标的测试。Multisim 7提供了专门用于射频电路仿真的元件模型库和仪表,以此搭建射频电路并进行实验与仿真,克服了SPICE仿真的结果与实际电路测试结果相差较大的缺点,提高了射频电路仿真的准确性。第9章 高频电路的集成比与EDA(4) Filter Design:它是由AADE公司开发的用于设计或计算集中参数滤波器的软件,几乎可以包含任何类型的低通、高通、带通和带阻滤波器,如巴特沃斯(Butterworth)、切比雪夫(Chebyshev)、椭圆(Elliptic)、贝

56、塞尔(Bessel)、高斯(Gaussian)和晶格 (Crystal ladder)滤波器等,可以计算这些滤波器的元件参数、插入损耗、回波损耗、群延迟、输入阻抗等。(5) MixSpur:它是由Engineers Club开发的低价格软件,可以以图形和表格的形式显示混频器和本振(LO)级产生的寄生输出信号的频率和幅度。这对混频器的设计非常有用。 第9章 高频电路的集成比与EDA(6) Easy PLL:它是由美国国家半导体公司(NS)开发的用以设计和检查PLL电路的软件。只需将所需的参考频率、调谐范围和鉴相频率放在合适的块内,就可用此软件快速而准确地选出合适的VCO和PLL芯片,并可设计整个

57、环路滤波器,输出PLL的全部特性。利用此软件,还可以检查PLL的稳定性和元器件值是否合适。还有很多关于匹配网络设计的软件,如LC Match和Impedance Matching Network Designer等,以及用于有线电视工程中的个人软件Advance RF toolkit等。第9章 高频电路的集成比与EDA9.3.4 高频电路高频电路EDA高频电路EDA与一般的电子电路EDA基本方法没有本质区别,可按照如图9-12 所示电路级的设计与分析步骤进行,但要注意高频电路的基本概念、基本参数和高频电路的特殊性。高频电路EDA一般用的是可以进行模拟电路(最好是高频或微波电路)和模数混合电路设

58、计与仿真的EDA软件。下面以Hp-Eesof61(Series IV)软件设计一个两级的JFET放大器,来说明高频电路EDA的简单工作过程。Hp-Eesof61软件的典型工作环境有四个主要的工作窗口: schem、test、layout和default。在schem窗口中进行电路和系统的原理设计和优化; 在test窗口中进行原理的仿真; 在layout 窗口中进行印制板的布线、仿真和优化工作; 在default中设定环境条件。第9章 高频电路的集成比与EDA图 9-12 高频电路EDA步骤框图第9章 高频电路的集成比与EDA图9-13 是HP Eesof61的系统设计结构框图。在设计中一般采用

59、顶层底层和底层顶层的设计方案。顶层设计主要是对系统总体方案的设计和仿真,底层设计主要完成具体电路的设计和仿真。顶层设计所涉及到的部件可以通过三种方法获得: 对于普通部件可以利用已有器件库中的器件; 对于特殊的部件可以通过网络参数分析仪得到的S参数构造部件; 对于用户设计部件可以调用用户设计的电路器件获得。电路设计所涉及到的器件可以通过现有的元件库和测量所得到的参数模型得到。第9章 高频电路的集成比与EDA图 9-13 Hp-Eesof61 系统设计结构第9章 高频电路的集成比与EDA在仿真中用来测试的信号十分重要,Eesof提供了如扫频信号、调频信号、QPSK信号等多种信号。对于特定信号可以通

60、过从HP89440A信号矢量分析仪得到的数据编写信号数据文件获得。在下面所举的例子中可以看到Eesof提供了大量的测试工具,为电路设计人员提供了强大的测试功能。一个两级的JFET放大器原理图如图9-14所示。第9章 高频电路的集成比与EDA图9-14 JFET放大器原理图第9章 高频电路的集成比与EDA对它进行S参数性能分析,图9-15为电路仿真线性测试平台。图9-16 是这个电路的线性仿真测试结果。从图上可以看到该放大器在85115 MHz范围内具有大于22 dB的增益,输入和输出的反向损失小于-10 dB。第9章 高频电路的集成比与EDA图 9-15 电路仿真平台第9章 高频电路的集成比与

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论