第五章 触发器课件_第1页
第五章 触发器课件_第2页
第五章 触发器课件_第3页
第五章 触发器课件_第4页
第五章 触发器课件_第5页
已阅读5页,还剩86页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第五章第五章 触发器触发器重点重点难点难点锁存器的结构特点、工作原理锁存器的结构特点、工作原理重点:重点:触发器结构、触发方式、逻辑功能三者之间的关系触发器结构、触发方式、逻辑功能三者之间的关系触发器触发器按逻辑功能按逻辑功能分类及其描述方法分类及其描述方法触发器触发器按触发方式按触发方式的分类及其动作特点的分类及其动作特点难点:难点:内部电路结构和详细工作原理(非重点)内部电路结构和详细工作原理(非重点)不同逻辑功能触发器的转换不同逻辑功能触发器的转换第五章第五章 触发器触发器触发器触发器是构成时序逻辑电路的基本逻辑部件。是构成时序逻辑电路的基本逻辑部件。 它有两个稳定的状态:它有两个稳定的

2、状态:0状态和状态和1状态;状态;根据不同的输入信号,可以被置成根据不同的输入信号,可以被置成0态和态和1态;态;当输入信号消失后,所置成的状态能保持不变当输入信号消失后,所置成的状态能保持不变按照结构形式的不同,触发信号的触发方式不一样,按照结构形式的不同,触发信号的触发方式不一样,触发方式分为触发方式分为电平触发电平触发、脉冲触发脉冲触发、边沿触发边沿触发。根据逻辑功能的不同,触发器可以分为根据逻辑功能的不同,触发器可以分为SR触发器触发器、D触发器触发器、JK触发器触发器、T和和T 触发器触发器。5.1 5.1 概述概述5.2 5.2 与非门构成的与非门构成的SRSR锁存器锁存器电电路路

3、组组成成和和逻逻辑辑符符号号信号输入端,低电平有效信号输入端,低电平有效信号输出端,信号输出端,Q=0、Q=1的状态称的状态称0态态, Q=1、Q=0的状态称的状态称1态态. SDRD QQ&SD RDQ工作原理工作原理10011 00RD=0、SD=1时:不论原来时:不论原来Q为为0还是还是1,都有,都有Q=0。即不论锁存器原来处于什么状态都将变成即不论锁存器原来处于什么状态都将变成0状态,称将锁存器状态,称将锁存器置置0或复位或复位。RD 端称为置端称为置0端或复位端。端或复位端。 SDRD QQ&SD RDQ1 000110RD=1、SD=0时:即不论锁存器原来处于什么状态时:即不论锁存

4、器原来处于什么状态都将变成都将变成1状态,称将锁存器状态,称将锁存器置置1或或置位置位。SD端称为置端称为置1端或置位端。端或置位端。0 11 SDRD QQ&1110RD=1、SD=1时:锁存器保持原有状态不变,即原时:锁存器保持原有状态不变,即原来的状态被锁存器存储起来,体现了来的状态被锁存器存储起来,体现了锁存器具有记忆锁存器具有记忆能力能力。SD RDQ1 000 111 1不变10 SD RD Q Q & & 0011SD RDQ1 000 111 1保持0 0不定RD=0、SD=0时:时: Q=Q=1,不符合锁存器的逻辑关系。,不符合锁存器的逻辑关系。由于与非门延迟时间不可能完全相

5、等,在两输入端的由于与非门延迟时间不可能完全相等,在两输入端的0同时撤同时撤除后,不能确定锁存器是处于除后,不能确定锁存器是处于1态还是态还是0态。所以锁存器态。所以锁存器不允许不允许出现这种情况出现这种情况(锁存器的约束条件锁存器的约束条件-SDRD=0)。与非门组成的与非门组成的SR锁存器的特性表锁存器的特性表现态现态:锁存器接收输入信号之前的状:锁存器接收输入信号之前的状态,也就是锁存器原来的稳定状态。态,也就是锁存器原来的稳定状态。次态次态:锁存器接收输入信号之后所处:锁存器接收输入信号之后所处的新的稳定状态。的新的稳定状态。波形图波形图反映反映SR锁存器输入信号取值和状态之间对应关系

6、的图形称锁存器输入信号取值和状态之间对应关系的图形称为波形图。为波形图。RDSDQQ置1置0置1置1置1保持不允许1100QQRSDD已知已知SR锁存器输入信号波形,画出锁存器输入信号波形,画出Q、Q端的电压波端的电压波形形锁存器的动作特点锁存器的动作特点输入信号在全部作用时间里都能直接改变输入信号在全部作用时间里都能直接改变Q和和Q的状态。的状态。SD-直接置位端直接置位端RD-直接复位端直接复位端直接置位、复位锁存器直接置位、复位锁存器电路组成和逻辑符号电路组成和逻辑符号或非门构成的或非门构成的SRSR锁存器锁存器信号输入端,高电平有效信号输入端,高电平有效 RDSD QQ RD SD Q

7、 Q(a) 逻辑图(b) 逻辑符号 1 1 SR或非门或非门SR锁存器的工作原理锁存器的工作原理SD RDQ1 010110当当 , 时,不管原态时,不管原态 如何,新态如何,新态 , ,且当且当 消失以后,消失以后,1态不变。态不变。-置置11DS0DR11nQ1DS01nQnQ1001SD RDQ1 010 10当当 , 时时 ,不管原态,不管原态 如何,新态如何,新态 , 且当且当 消失以后,消失以后,0态不变。态不变。-置置0”1DR0DS11nQ01nQ1DRnQ0010SD RDQ1 010 100 0保持10当当 时,若原态时,若原态 ,则次态,则次态 不变;若原态不变;若原态

8、,则次态,则次态 不变。不变。 -保持保持0DDRS1nQ0nQ01nQ11nQ1100SD RDQ1 010 100 0保持1 1不定当当 时,时, ,但当,但当 同时消失时,状态不定。同时消失时,状态不定。锁存器锁存器不允许不允许出现这种情况出现这种情况(锁存器的约束条件锁存器的约束条件-SDRD=0)。011nnQQ1DDRS1DDRSSR锁存器锁存器 (a) 74LS279 的引脚图 16 15 14 13 12 11 10 9 74LS279 1 2 3 4 5 6 7 8 VCC 4S 4R 4Q 3SA 3SB 3R 3Q 1R 1SA 1SB 1Q 2R 2S 2Q GND (

9、b) CC4044 的引脚图 16 15 14 13 12 11 10 9 CC4044 1 2 3 4 5 6 7 8 VDD 4S 4R 1Q 2R 2S 3Q 2Q 4Q NC 1S 1R EN 3R 3S VSS EN1时工作EN0时禁止1S3S防抖动输出的开关电路防抖动输出的开关电路SR锁存器的实际应用5.3 5.3 电平触发的触发器电平触发的触发器同步触发器同步触发器要求只有在要求只有在同步信号同步信号到达时,触发器的状态才到达时,触发器的状态才能发生变化。同步信号称能发生变化。同步信号称时钟信号时钟信号/ /时钟脉冲时钟脉冲,用,用CLK/CLK/CPCP表表示示一、电路结构和逻

10、辑符号一、电路结构和逻辑符号锁存器输入控制门SRCLK0时,触发器保持原来状态不变。时,触发器保持原来状态不变。CLK1时,工作情况与时,工作情况与SR锁存器相同。锁存器相同。电平触发方式电平触发方式二、工作原理二、工作原理才才起起作作用用。和和到到达达,只只有有触触发发信信号号RSCLK三、电平触发三、电平触发SR触发器的特性表触发器的特性表四、电平触发四、电平触发SR触发器的波形图触发器的波形图 CLK R S Q Q 不变不变不变不变不变不变置1置0置1置0不变在数字电路中,凡在在数字电路中,凡在CLK时钟脉冲时钟脉冲控制下,根据输入信号的控制下,根据输入信号的不同,具有不同,具有置置0

11、、置置1、保持、保持功能的电路,都称为功能的电路,都称为SR触发器触发器。需要在需要在CLK有效信号到达之前预先将触发器置成指定的状有效信号到达之前预先将触发器置成指定的状态,实用电路上添加态,实用电路上添加异步置位、复位端异步置位、复位端SD、RD 若若SD =0,RD =1,则可直接置,则可直接置1,不受,不受CLK控制控制若若RD =0,SD =1,则可直接置,则可直接置0,不受,不受CLK控制控制五、电平触发五、电平触发SR触发器的主要特点触发器的主要特点(2)R、S之间有约束。不能允许出现之间有约束。不能允许出现R和和S同时为同时为1的情的情况,否则会使触发器处于不确定的状态。况,否

12、则会使触发器处于不确定的状态。(1)当)当CLK有效电平时,触发器才能接受输入信号,有效电平时,触发器才能接受输入信号,并按照输入信号将触发器的输出置成相应的状态。并按照输入信号将触发器的输出置成相应的状态。 在一个时钟脉冲周期(在一个时钟脉冲周期(CLK=1)中,触发器发生多)中,触发器发生多次翻转的现象叫做次翻转的现象叫做空翻。空翻。 CLK=1 CLK=1期间期间,G G3 3、G G4 4门为门为“开门开门”,都能接收,都能接收R R、S S信号。信号。如果在如果在CLK=1CLK=1期间期间R R、S S发生多次变化,则触发器的状态也可发生多次变化,则触发器的状态也可能发生多次翻转。

13、能发生多次翻转。降低触发器的抗干扰性。降低触发器的抗干扰性。 六、同步六、同步SRSR触发器存在的问题触发器存在的问题-空翻现象空翻现象变变化化多多次次翻翻转转、可可能能随随和和期期间间,在在RSQQCLK 1例例5.3.1 画出画出Q、Q端的电压波形。设触发器的初态端的电压波形。设触发器的初态Q=0.七、电平触发七、电平触发D D触发器触发器令令S=D,R=D,得,得D触发器触发器D=1时,时,S=1,R=0,Q=1,置置1D=0时,时,S=0,R=1,Q=0,置置01111010100000DQQ 1111CLK0XX1010波波形形图图在数字电路中,凡在在数字电路中,凡在CLK时钟脉冲控

14、制下,根据输入信号时钟脉冲控制下,根据输入信号D的不同,具有的不同,具有置置0、置置1功能的电路,都称为功能的电路,都称为D触发器。触发器。同步同步D触发器的空翻触发器的空翻多次变化多次变化(a) 74LS375 的引脚图 16 15 14 13 12 11 10 974LS375 1 2 3 4 5 6 7 8VCC 4D 4Q 4Q 2G 3Q 3Q 3D1D 1Q 1Q 1G 2Q 2Q 2D GND(b) CC404 的引脚图 16 15 14 13 12 11 10 9CC4042 1 2 3 4 5 6 7 8VDD 4Q 4D 3D 3Q 3Q 2Q 2Q4Q 1Q 1Q 1D

15、CP POL 2D VSS集成同步集成同步D触发器触发器CP1、2CP3、4POL1时,CP1有效,锁存的内容是CP下降沿时刻D的值;POL0时,CP0有效,锁存的内容是CP上升沿时刻D的值。D触发器的实际应用7475 的的4个触发器用于处理单元和个触发器用于处理单元和输出或显示器之间的输出或显示器之间的暂存器暂存器D触发器的应用-存储寄存器7474双型正沿触发器双型正沿触发器(带预置和清除端带预置和清除端) 7474双型正沿触发器双型正沿触发器(带预置和清除端带预置和清除端) D触发器的应用二分频和计数器八、同步八、同步JKJK触发器触发器电路组成及逻辑符号电路组成及逻辑符号1011001C

16、P=0时,状态不变时,状态不变011000111CP=1,J=K=0,状态不变,状态不变工作原理工作原理CP=1,J=1,K=0,置,置1态态011110001011110100CP=1,J=0,K=1,置,置0态态工作原理工作原理0101110111001CP=1,J=K=1,翻转,翻转工作原理工作原理特性表特性表CPJKQQ波波形形图图数字电路中,凡在数字电路中,凡在CP时钟脉冲控制下,据输入信号时钟脉冲控制下,据输入信号J、K的不的不同,具有同,具有置置0、置置1、保持保持和和翻转翻转功能的电路称为功能的电路称为JK触发器。触发器。多次翻转多次翻转状态无法确定状态无法确定QQ&G1G2G

17、3G4CLKSSRSG1 G4 构成同步构成同步SR触触发器称发器称从触发器从触发器SCLK&G5G6G7G8RQmQ m1G9主从触发器的时钟信号相位相反主从触发器的时钟信号相位相反G5 G8 构成同步构成同步SR触触发器,称发器,称主触发器主触发器一、主从一、主从SR触发器电路结构和逻辑符号触发器电路结构和逻辑符号5.4 5.4 脉冲触发的触发器脉冲触发的触发器CLK=1时,时,G7、G8门打开,门打开,主触发器随主触发器随S、R状态翻转状态翻转,CLK=0, G3、G4门封锁,门封锁,从触发器状态不变从触发器状态不变,整个触发器状态不变,整个触发器状态不变当当CLK由由1变为变为0 时,

18、时,G7、G8 门封锁,门封锁,主触发器状态不变主触发器状态不变,同时同时 G3、G4 门打开,门打开, 从触发器按照与主触发器相同的状态翻转从触发器按照与主触发器相同的状态翻转在一个在一个CLK的变化周期里,触发器的变化周期里,触发器输出端的状态只改变一次输出端的状态只改变一次工作原理工作原理表示延迟输出,即在表示延迟输出,即在CLK=1期间期间Q不变,等到不变,等到CLK=0时,输出才改变。时,输出才改变。主从主从SRSR触发器的特性表触发器的特性表*CLK回到低电平后状态不定回到低电平后状态不定CLK S R Q Q* Q 保持保持 0 0 0 0 0 0 1 1 1 0 0 1 1 0

19、 1 1 0 1 0 0 0 1 1 0 1 1 0 1* 1 1 1 1*保持保持置置1置置0不定不定 含义含义若在若在CLK=1期间期间S=0,R=0则在则在CLK 时,时,Qn+1=Qn若在若在CLK=1期间期间S=1,R=0则在则在CLK 时,时,Qn+1=1若在若在CLK=1期间期间S=0,R=1则在则在CLK 时,时,Qn+1=0若若CLK=1期间期间S=1,R=1,则,则CLK 时,时,Qn+1=1,Qn+1=1,且在且在CLK 时,状态不定时,状态不定。主从主从SR触发器电路特点触发器电路特点1、主从、主从SR触发器采用主从控制结构,从根本上解决触发器采用主从控制结构,从根本上

20、解决了输入信号直接控制的问题,了输入信号直接控制的问题,具有具有CLK1期间接收期间接收输入信号,输入信号,CLK下降沿到来时触发翻转的特点。下降沿到来时触发翻转的特点。2、仍然存在着约束问题,即在、仍然存在着约束问题,即在CLK1期间,输入期间,输入信号信号R和和S不能同时为不能同时为1(SR=0)。3、CLK=1期间期间S、R状态多次改变时主触发器状态状态多次改变时主触发器状态也会随着多次翻转。也会随着多次翻转。例例5.4.1 主从主从SR触发器触发器SR触发器的实际应用脉冲触发脉冲触发SR触发器用于锁存或解锁一个控制输出端触发器用于锁存或解锁一个控制输出端也也是是确确定定的的的的情情况况

21、下下,既既使使出出现现为为解解除除约约束束*Q1RS, 二、主从二、主从JKJK触发器电路结构和逻辑符号触发器电路结构和逻辑符号SR1CLK10Q1,1Q1CLK0K,1J)1( 后后,“从从”,“主主”“主主”保保持持时时,则则若若0CLK00Q01Q1CLK1K,0J)2( 后后,“从从”,“主主”保保持持,“主主”时时,则则若若工作原理工作原理后,“从”保持“主”保持时,则若CLKCLKKJ10)3()Q(CLK1,0Q0,1Q1CLK1KJ)4( 后后,“从从”则则“主主”置置若若则则“主主”置置若若时时,则则若若约束条件自然满足,约束条件自然满足, 相当于相当于S=JQ ,R=KQ,

22、 SR=JKQQ 0 SR主从主从JK触发器特性表触发器特性表波波形形图图CPJKQJK触发器电路特点触发器电路特点主从主从JK触发器采用主从控制结构,从根本上解决了输入信触发器采用主从控制结构,从根本上解决了输入信号直接控制的问题,号直接控制的问题,具有具有CLK1期间接收输入信号,期间接收输入信号,CLK下下降沿到来时触发翻转的特点。降沿到来时触发翻转的特点。输入信号输入信号J、K之间没有约束。之间没有约束。存在一次变化问题。存在一次变化问题。) 1(, CLKKQRQJSnn化一次化一次期间,主触发器只能变期间,主触发器只能变。,则主触发器不可能置,则主触发器不可能置如果如果;,则主触发

23、器不可能置,则主触发器不可能置如果如果”中必有一为“中必有一为“和和互补,故互补,故和和100100 CLKRSRSQQnn123)0(0, QRJS) 1(,0 QKRSSR1 1、主从触发器工作过程分两步:、主从触发器工作过程分两步: CLK=1CLK=1时,主触发器接收输入信号,从触发器被封锁而时,主触发器接收输入信号,从触发器被封锁而保持不变保持不变; CLKCLK由由“1”1”变变“0”0”时,主触发器被封锁,从时,主触发器被封锁,从触发器接收主触发器的状态,触发器的状态触发器接收主触发器的状态,触发器的状态发生相应变化发生相应变化;CLKCLK0 0期间,触发器状态保持。期间,触发

24、器状态保持。 三、脉冲触发方式的动作特点可可能能翻翻转转一一次次高高电电平平期期间间,“主主”只只在在但但主主从从对对“主主”起起控控制制作作用用的的全全部部时时间间里里输输入入信信号号,、主主从从CLKJK;1CLKSR2 的的信信号号进进入入主主触触发发器器时时,只只允允许许主主触触发发器器的的信信号号进进入入时时,只只允允许许1K1Q;1J0Q SR3、输入信号、输入信号J、K之间没有约束。之间没有约束。具有具有CLK1期间接收输入信号,期间接收输入信号,CLK下降沿到来时触发翻转的特点。下降沿到来时触发翻转的特点。例例5.4.3 主从主从JK触发器触发器在在CLK=1的全部时间里输入的

25、全部时间里输入状态改变,必须考虑状态改变,必须考虑CLK=1期间输入状态的全部变化过期间输入状态的全部变化过程,才能确定程,才能确定CLK下降沿到下降沿到达时触发器的状态。达时触发器的状态。在在CLK=1的全部时间里输的全部时间里输入状态始终未改变的条件入状态始终未改变的条件下,用下,用CLK下降沿到达的下降沿到达的状态决定触发器的次态是状态决定触发器的次态是正确的;正确的;2.CLK=12.CLK=1时,时,J J、K K输入信号发生了变化:输入信号发生了变化:若若CLKCLK下降沿前下降沿前Q=0Q=0,且,且CLK=1CLK=1期间期间J J、K K信号若出现使信号若出现使Q Q变为变为

26、1 1的组合,即的组合,即JK=10JK=10或或1111,则,则CLKCLK下降沿时下降沿时Q Q变为变为1 1。若若CLKCLK下降沿前下降沿前Q=1Q=1,且,且CLK=1CLK=1期间期间J J、K K信号若出现使信号若出现使Q Q变为变为0 0的组合,即的组合,即JK=01JK=01或或1111,则,则CLKCLK下降沿时下降沿时Q Q变为变为0 0。主从主从JKJK触发器波形图的画法小结触发器波形图的画法小结1.CLK=11.CLK=1时,时,J J、K K输入信号没有发生变化:输出状态输入信号没有发生变化:输出状态Q Q由由CLKCLK下下降沿到来前一瞬间降沿到来前一瞬间的的J

27、J、K K值按值按JKJK触发器的特性来决定。触发器的特性来决定。设初态设初态Q=0Q=0 Q Q CLKCLK J J K K123集成主从集成主从JK触发器触发器 14 13 12 11 10 9 87472 1 2 3 4 5 6 7VCC SD RD K3 K2 K1 Q(b) 7472 的引脚图(a) 74LS76 的引脚图 16 15 14 13 12 11 10 974LS76 1 2 3 4 5 6 7 81K 1Q 1Q GND 2K 2Q 2Q 2J1CP 1SD 1RD 1J VCC2CP 2SD 2RDNC CP J1 J2 J3 Q GND321KKKK 321JJJ

28、J 低电平有效低电平有效CP下降沿触发7476双双J-K触发器触发器(带预置和清除端)带预置和清除端)多输入主从多输入主从JK触发器的逻辑符号触发器的逻辑符号 主从主从JK触发器触发器功能完善功能完善,输入信号,输入信号J、K之间没有约束。之间没有约束。但主从但主从JK触发器还存在着触发器还存在着一次变化一次变化问题,即主从问题,即主从JK触发器触发器中的主触发器,在中的主触发器,在CLK1期间其状态能且只能变化一次,期间其状态能且只能变化一次,这种变化可以是这种变化可以是J、K变化引起,也可以是干扰脉冲引起,因变化引起,也可以是干扰脉冲引起,因此其抗干扰能力尚需进一步提高。此其抗干扰能力尚需

29、进一步提高。 为了提高可靠性,增强抗干扰能力,希望触发器的为了提高可靠性,增强抗干扰能力,希望触发器的次态次态仅取决于仅取决于CLK的下降沿(或上升沿)到来的下降沿(或上升沿)到来时输入时输入信号状态,与在此前、后输入的状态没有关系。信号状态,与在此前、后输入的状态没有关系。5.5 5.5 边沿触发的触发器边沿触发的触发器 用用CMOS传输门传输门构成的边沿触发器构成的边沿触发器 维持阻塞维持阻塞边沿触发器边沿触发器 用用门电路门电路tpd构成的边沿触发器构成的边沿触发器 反反馈馈通通路路接接通通,自自锁锁保保持持通通断断,时时,,QTGTGDQ,DQTGTG0CLK)1(431121而变随着

30、断通,2 2、工作原理、工作原理 反反馈馈不不通通断断通通,的的状状态态被被保保存存通通止止,后后,,DQTGTGQTGTGCLK)2(43121后后,输输出出才才能能变变化化。直直到到下下个个反反馈馈通通路路接接通通保保持持通通断断,接接收收新新的的输输入入断断通通, CLK,QTGTG,DQTGTGCLK)3(431211 1、边沿、边沿D D触发器触发器-CMOS-CMOS传输门的边沿触发器传输门的边沿触发器、真值表3X X X 0 X 0 1 X 1*QQDCLKQ4 4、逻辑符号逻辑符号端,置、有异步置015D触发器特点触发器特点上升沿上升沿/下降沿触发,下降沿触发,即即CLK上升沿

31、上升沿/下降沿到来时,下降沿到来时,状态发生翻转,与此前、后的状态无关。状态发生翻转,与此前、后的状态无关。无同步触发无同步触发器的空翻现象。器的空翻现象。功能与同步功能与同步D触发器相同。触发器相同。使用方便灵活。使用方便灵活。抗干扰能力极强,工作速度很高。抗干扰能力极强,工作速度很高。 14 13 12 11 10 9 874LS74 1 2 3 4 5 6 7VCC 2RD 2D 2CP 2SD 2Q 2Q1RD 1D 1CP 1SD 1Q 1Q GND 14 13 12 11 10 9 8CC4013 1 2 3 4 5 6 7VCC 2Q 2Q 2CP 2RD 2D 2SD1Q 1Q

32、 1CP 1RD 1D 1SD VSS (a) 74LS74引脚排列图 (b) CC4013引脚排列图集成边沿集成边沿D触发器触发器:CC4013的异步输入端RD和SD为高电平有效。CP上升沿触发边沿边沿JK触发器触发器的逻辑符号的逻辑符号边沿边沿JK触发触发器的特点器的特点边沿触发,边沿触发,即即CLK边边沿到来时,状态发生翻沿到来时,状态发生翻转。转。无同步触发器的空无同步触发器的空翻现象。翻现象。功能与同步功能与同步JK触发器触发器相同。相同。使用方便灵活。使用方便灵活。抗干扰能力极强,工抗干扰能力极强,工作速度很高。作速度很高。二、边沿二、边沿JKJK触发器触发器集成边沿集成边沿JK触

33、发器触发器(a) 74LS112 的引脚图 16 15 14 13 12 11 10 974LS112 1 2 3 4 5 6 7 8VCC 1RD 2RD 2CP 2K 2J 2SD 2Q1CP 1K 1J 1SD 1Q 1Q 2Q GND(b) CC4027 的引脚图 16 15 14 13 12 11 10 9CC4027 1 2 3 4 5 6 7 8VDD 2Q 2Q 2CP 2RD 2K 2J 2SD1Q 1Q 1CP 1RD 1K 1J 1SD VSS74LS112为为CP下降沿触发。下降沿触发。CC4027为为CP上升沿触发,且其异步输入上升沿触发,且其异步输入端端RD和和SD

34、为高电平有效。为高电平有效。例例1:将同样的输入信号分别加到两个触发器:将同样的输入信号分别加到两个触发器FF1、FF2的的J、K输入端上,试画出输入端上,试画出Q1、Q2端的电压波形。设触发器的初始状态端的电压波形。设触发器的初始状态均为均为Q=0。例例2:试画出图示电路中触发器输出端:试画出图示电路中触发器输出端Q的电压波形。输入信的电压波形。输入信号号A、B的波形如图所示。设触发器的初始状态为的波形如图所示。设触发器的初始状态为Q=0。例例3:试画出图示电路在:试画出图示电路在CLK脉冲序列作用下脉冲序列作用下Q1和和Q2的电压的电压波形。设两个触发器的初始状态均为波形。设两个触发器的初

35、始状态均为Q=0。JK触发器的应用分频器/计数器JK触发器的应用4位二进制计数器5.6 5.6 触发器的逻辑功能及其描述方触发器的逻辑功能及其描述方法法 0SRQRSRSQRSQRSQRSQRS*Q. 2特特性性方方程程00 0000 1110 0110 1101 0001 1011 01*11 11*QQRS1. 定义,凡在时钟信号作用下,具有如下功能的触发器定义,凡在时钟信号作用下,具有如下功能的触发器一、一、SRSR触发器触发器符符号号. 4状状态态转转换换图图. 3QKQJ*Q:. 2 特特性性方方程程状状态态转转换换图图. 30 0 0 00 0 1 11 0 0 11 0 1 10

36、 1 0 00 1 1 01 1 0 11 1 1 0*QQKJ符符号号. 4二、二、JKJK触发器触发器1.定义定义在数字电路中,凡在在数字电路中,凡在CLK时钟脉冲控制下,根据输入信号时钟脉冲控制下,根据输入信号T取取值的不同,具有值的不同,具有保持保持和和翻转翻转功能的电路,即当功能的电路,即当T0时能保持状时能保持状态不变,态不变,T1时一定翻转的电路,都称为时一定翻转的电路,都称为T 触发器。触发器。T QnQn +1功 能0 00 101nnQQ1 保 持1 01 110nnQQ1翻 转特性表特性表三、三、T T触发器触发器1. 定义:定义:QTQT*Q:. 2 特性方程特性方程状

37、态转换图状态转换图. 3逻辑符号逻辑符号. 4 CLK T Q Q 5.时序图时序图T触发器触发器在数字电路中,凡每来一个时钟脉冲就翻转一次的电路,都称在数字电路中,凡每来一个时钟脉冲就翻转一次的电路,都称为为T触发器。触发器。特性表特性表逻辑符号逻辑符号QnQn +1功 能0110nnQQ1翻 转 CLK Q Q 01状状态态图图时时序序图图四、四、D D触发器触发器0 0 00 1 01 0 11 1 1*QQDDQ *2:.特性方程状态转换图. 3符号. 41.定义:凡在时钟信号作用下,具有如下功能的触发器定义:凡在时钟信号作用下,具有如下功能的触发器补充补充-不同类型触发器之间的转换不

38、同类型触发器之间的转换(1)写出已有触发器和待求触发器的特性方程。)写出已有触发器和待求触发器的特性方程。(2)变换待求触发器的特性方程,使之形式与已有)变换待求触发器的特性方程,使之形式与已有触发器的特性方程一致。触发器的特性方程一致。(3)比较已有和待求触发器的特性方程,根据两个)比较已有和待求触发器的特性方程,根据两个方程相等的原则求出转换逻辑。方程相等的原则求出转换逻辑。(4)根据转换逻辑画出逻辑电路图。)根据转换逻辑画出逻辑电路图。利用令利用令已有触发器已有触发器和和待求触发器待求触发器的特性方程相等的特性方程相等的原则,求出转换逻辑。的原则,求出转换逻辑。nn1nQTQTQT .

39、1 触发器特性方程:触发器特性方程: TKTJ. 3两两特特性性方方程程比比较较得得:电电路路图图QKQJ*Q:JK. 2 触触发发器器特特性性方方程程1、JK触发器触发器T触发器触发器T 触发器特性方程:触发器特性方程:与与JK触发器的特性方程比较,得:触发器的特性方程比较,得:1K1J电电路路图图nnQQ1变换变换T触发器的特性方程:触发器的特性方程:nnnnQQQQ1112、JK触发器触发器T触发器触发器3、JK触发器触发器SR触发器触发器01RSQRSQnnnnnnnnnnnnnnnnnnnQRQSRSQSQRQRQSRRSQQRQSQRSQQSQRQQSQRSQ)()(1RS触发器特

40、性方程触发器特性方程变换变换RS触发器的特性方程,使之形式与触发器的特性方程,使之形式与JK触发器的特性方程一致:触发器的特性方程一致:RKSJnnnQKQJQ1nnnQRQSQ1比较,得:电路图电路图4、JK触发器触发器D触发器触发器写出写出D触发器的特性方程,并进行变换,使之形式与触发器的特性方程,并进行变换,使之形式与JK触发触发器的特性方程一致:器的特性方程一致:nnnnnDQQDQQDDQ)(1与与JK触发器的特性方程比较,得:触发器的特性方程比较,得:DKDJ电电路路图图5、D触发器触发器T触发器触发器nQTD6、D触发器触发器T触发器触发器nQD 7、D触发器触发器JK触发器触发

41、器nnQKQJD同一种功能的触发器,可以用不同的电路结构形式来实现;同同一种功能的触发器,可以用不同的电路结构形式来实现;同一种电路结构形式,可以构成具有不同功能的各种类型触发器。一种电路结构形式,可以构成具有不同功能的各种类型触发器。5.6.2、触发器的电路结构和逻辑功、触发器的电路结构和逻辑功能、触发方式的关系能、触发方式的关系一、电路结构和逻辑功能一、电路结构和逻辑功能 触发器的电路结构和逻辑功能之间触发器的电路结构和逻辑功能之间不存在不存在固定的对应关系固定的对应关系二、电路结构和触发方式二、电路结构和触发方式触发器的电路结构与触发方式之间触发器的电路结构与触发方式之间存在存在固定的对应关系固定的对应关系采用同步结构采用同步结构-电平触发电平触发采用主从结构采用主从结构-脉冲触发脉冲触发采用维持阻塞结构、门电路传输延迟时间结构采用维持阻塞结构、门电路传输延迟时间结构-边沿触发边沿触发本节小结本节小结触发器有两个稳定状态,在外界信号作用下,可以从一个触发器有两个稳定状态,在外界信号作用下,可以从一个稳态转变为另一个稳态;无外界信号作用时状态保持不变。稳态转变为另一个稳态;无外界信号作用时状态保持不变。触发器逻辑功能描述:触发器逻辑功能描述:特性表、特性方程、状态图、波形图特性表、特性方程、状态图、波形图同一种功能的触发器,可以用不同的电路结构形式来实现;同

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论