DDS多信号发生器的实现.._第1页
DDS多信号发生器的实现.._第2页
免费预览已结束,剩余9页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、DDS多信号发生器的实现摘要:详细介绍了直接数字频率合成器(DDS 的工作原理、基本结构。在 参考 DDS 相关文献的基础上, 提出了符合结构的 DDS 设计方案, 利用 DDS 技术 设计多波形信号发生器,此设计基于可编程逻辑器件 FPGA 采用 QuartusU开发 平台,由 VHDL 编程实现。关键词:FPGA DDS 多波形信号发生器.、八、-刖言直接数字频率合成(DDS: Direct Digital Frequency Synthesi)是近年来发展起来的一种新的频率合成技术, 其主要优点是相对带宽很大,频率转换时间 极短(可小于 20 ns ),频率分辨率很高,全数字化结构便于集

2、成,输出相位连 续可调,且频率、相位和幅度均可实现程控。DDS 能够与计算机技术紧密结合在 一起,克服了模拟频率合成和锁相频率合成等传统频率合成技术电路复杂、设备体积较大、成本较高的不足,因此它是一种很有发展前途的频率合成技术。DDS 技 术现已在接收机本振、信号发生器、通信系统、雷达系统等方面得到广泛应用。 数字频率合成器作为一种信号产生装置己经越来越受到人们的重视,它可以根据用户的要求产生相应的波形,具有重复性好、实时性强等优点,己经逐步取代了 传统的函数发生器。本文的目的:1、设计开发出一个能产生正弦波、方波、三角波等波形的信号源。2、实现累加器步长可控、累加频率可控。3、输出信号幅度可

3、控。4、可通过嵌入式逻辑分析仪观察波形。一、直接数字频率合成(DDS)的基本原理对于一个频谱纯净的单频正弦信号可以用下式来描述S硼=Asin (Dt-A sin(2z其相位为:0 -2TTqqv=d2;whe n 00000011=qn ull;end case;end process;end behav;sel7.Od17.O j d羽0iinst22、选择器分析:Sei :选择端口当 sei 端口的时钟脉冲为“ 00000001 ”时,当 sei 端口的时钟脉冲为“ 00000010 ”时, 当sei 端口的时钟脉冲为“ 00000011 ”时, D1:正弦信号输入端口D2:三角信号输入端

4、口D3:方波信号输入端口Q 信号输出端口3、波形仿真将选择器连接好,得到具有选择信号功能的电路图。如图10 选择信号电路原理图,图 11 信号仿真图图 9 波形选择器图 10 选择信号电路原理图输出信号为正弦信号;输出信号为三角信号;输出信号为方波信号。图 11 三种信号仿真图(三八幅度变化DDS 可以调整信号的幅度,本文是通过乘法器进行增大幅值。在信号输出之 前添加一个 4 位的乘法器,将信号的幅值增大。如图 12 调幅电路原理图,图 13 调幅仿真图图 12 调幅电路原理图4kQ finn国1 51a ktAiXW1LJL. -:.nbLHi?X Ui 图 13 调幅仿真图(四八相位调整D

5、DS 工作原理是在时钟控制下存储器中去不同的地址,得到不同的数值从而 形成信号。DDS 自动取地址是从第一个开始,也就是说初始的相位是0。所以改变 DDS 第一个取的地址就能改变其初相位。所以在 DDS 取地址之前加一个加法器 和触发器。这个加法器的输入是从调频的输出,另一个加法器的输入端则用时钟 控制。当时钟elk 等于 0 时,加法器则不改变数值即不改变信号的初相位。当时钟 elk 不等于 0 时,加法器改变数值即改变初相位,从而达到相位调整的功能。 如图 14相位调整电路原理图,图 15 相位调整信号仿真图Madei ToeBrDipt# pcriertrtefvai:4 3us Sla

6、tOps-EndlOOusTai-1 38 yi4.54 is17 1314.96 us5.E ai5J8 uiB.34 g5.E us他Cdka GiHOC00TK0MQ tlEKMiXOn+ JktABiniHBCOX0詆訂HOCiXODtUlrcciran:图 15 相位调整信号仿真图三、嵌入式逻辑分析将该 DDS 言号发生器的电路图下载到 EP2C5Q208C 芯片里面,进行引脚绑定, 利用QuartusII 的嵌入式逻辑分析仪来观察动态的波形。 检测 DDS 俞出信号 是否正确。如图 16 嵌入式分析三角波变幅波形图、图 17 嵌入式方波变幅波 形图。to dcc|uirJ虜0l|址

7、点宦Ifistarsce Manager:傀回Readjp to acquireInst占nc曹| SlatusLLEs: B52 |Mamor/ 327631M512.MLAB. 0/02&/2G團aJcsijnaltapONot runningS52 crfs32768 Ms9 blocks8 blockslog: 2014/050014:111:11 #0Tyi* AKafiHnine41644B400512544578 (illvnriliimraBruIrmviiiiiiiiJLri!HBnruiilmn Aviii imrlnHMnriiiliimBii608G4 rahi

8、n raaari l 国out. ,111 hh.iii ihiL.,11 iihil.iidihi.iJiihi.liii iiihjdbiijihhjilbijllbLiillh.MiJdlullLil图16嵌入式逻辑分析三角波变幅波形图IditIrajctj Quart us II C:/Docuaenl arid Sett ings/Adainist ratorBlockl一DlouklFili Edit Vi in Proj-eet Pr* cessing:T* &ls Wi ndow尽1慨怕叩国吊迥殳lrk$tonce Managefi:恤申 |凹| Ready tu ac

9、quie切Imlance1勺如LEE852 |Memoiy: 327681M51211La.0:O/D |MJK.M9K. 26/26| M-FWJ,ft auto 3nali:ap 0Nd! running652 cels32768 MsB Nocks图 17嵌入式逻辑分析方波变幅波形图四、总结这次论文研究的是 DDS 多信号发生器。主要研究了 DDS 勺工作原理、基本结 构。在参考 DDS 相关文献的基础上,提出了符合结构的 DDS 设计方案,利用 DDS 技术设计多波形信号发生器, 此设计基于可编程逻辑器件 FPGA 采用 QuartusH开发平台, 由 VHDL编程实现。1、 信号产生:通过在已存入地址的存储器里选址得

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论