EDA技术实验指导(页)_第1页
EDA技术实验指导(页)_第2页
EDA技术实验指导(页)_第3页
EDA技术实验指导(页)_第4页
EDA技术实验指导(页)_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验一 quartus ii sc本输入法设计时序逻辑电路(1)实验目的:熟悉quartus ii的vhdl文本设计过程,学习简单时序电路的设 计、仿真和硕件测试。(2)实验内容:i 用vhdl设计一个d触发器,并利用quartus ii进行编辑输入、编译及仿真。ii对i进行引脚锁定及硬件下载测试。建议选择实验电路模式5 (参考附图 f-5),用键1 (pioo,引脚号为1)控制输入信号d;时钟信号clk接clocko (引 脚号为93);输岀信号q接发光二极管di (pi0&引脚号为11)。最后进行编译、 卜载和硬件测试实验(通过按键1和时钟信号,控制发光二极管的亮灭)。(3)实验报

2、告:1. 给出木实验的设计程序、仿真波形报告及其分析说明、硬件测试的结果。(1) 实验目的:熟悉quartus ii的vhdl文本设计流程全过程,学习简单组合逻 辑电路的设计、多层次电路的设计、仿真和硕件测试。(2) 实验内容:i 利用quartus ii完成2选1多路选择器的文本编辑输入、编译和仿真测试, 给出仿真波形分析说明。【参考程序】:entity mux21a isport ( a, b, s: in bit;y : out bit );end enttty mux21a;architecture one of mux21a isbeginprocess (a, b, s)beg t

3、nif s = 'o' then y <= a ;else y <= b ;end if;end process;end architecture one ;ii将实验i屮的多路选择器看成是一个元件mux21a,利用元件例化语句实现 图2-1,并将此文件放在同一文件夹中。最后进行编译、仿真,给出仿真波形分 析说明。图2-1双2选1多路选择器【参考程序lentity muxk isport(al, a2, a3: in bit;so,si: in bit;outy: out bit);end entity muxk;archi tecture one of muxk

4、iscomponent mux21a isport (a, b, s: in bit;y: out bit);end component;signal tmp: bit;beginul: mux21a port map(a=>a2, b=>a3, s=>so, y=>tmp);u2: mux21a port map(a=>al, b=>tmp, s=>sl, y=>outy);end architecture one;hi对ii进行引脚锁定及硬件下载测试。建议选择实验电路模式5 (参考附图 f-5),用键1 (pi00,引脚号为1)控制so;用键

5、2 (pi01,引脚号为2)控制si; a3、a2和al分别接clocks(引脚号为16)、clocko (引脚号为93)和clock2 (引 脚号为17);输岀信号outy接扬声器spker (引脚号为129)。通过短路帽选择 clock5接1024i1z信号,clocko接256ilz信号,clock2接8iiz信号。最后进行 编译、下载和硬件测试实验(通过选择键1、键2、控制so、si,可使扬声器输 出不同音调)。(3)实验报告:1. 实验i的仿真波形报告分析说明。2. 实验ii的完整程序及程序分析说明。3. 实验ii的仿真波形报告分析说明。4. 实验iii硬件测试的详细实验说明。(1)

6、 实验目的:熟悉使用quartusii的原理图输入方法设计简单组合逻辑电路, 掌握层次化原理图设计的方法。(2) 实验原理:一个1位全加器可以曲两个半加器和一个或门构成,其电路原理图如下:h_adder图3-2全加器原理图表3-1半加器真值表absoco0000011010101101(3)实验内容i完成半加器的设计,包括原理图输入、编译、仿真,并将此半加器电路设置 成-个硬件符号入库。ii.建立一个更高层次的原理图文件,利用以上获得的半加器构成1位全加器, 并完成编译、仿真及硕件测试。建议选择电路模式5 (参考附图f-5),键1、键2、键3 (pi00/1/2)分别 接 ain、bin、ci

7、n,发光管 d2、di (pt09/8)分别接 sum 和 couto(4)实验报告1. 给出实验i的仿真波形报告分析。2. 给出实验ii的仿真波形报告分析。3. 分别给出实验i和实验ii的硬件测试过程说明和结果分析。实验四微分电路仿真微分电路如图4-1所示。运放采用ua741,电源电压vcc = 15v, vee = 15v,电容的初始电压为0vo当输入电压vsin为正玄信号且其屈性为vofe=td = df=0, phase=0, vampl=1v, freq=500hz 时,求输出 vout 的波形。图4-1微分电路实验五晶体管放大电路仿真图5-1所示电路为二级电压串联负反馈放大电路。其

8、中,激励源vs的属性参数为默认值,三极管q2n3904的模型参数为默认值。ovdcq2n3904rfwv20k11. cb3丿注 q2n390412vdc-=10rl2k纟vccv0rb7k6re1400200、o 图5-1放大电路电路图元件清单itemquantityreferencepart12cbl,cb22. 2u21cb3lon32ce2,cellou42q1,q2q2n390451rl2k62rb3, rbl33k72rb4,rb27k81rcl3.8k92rel, rc2400101re2900111re3100121rf20k131rs200实验要求:1. 利用orcad/ca

9、pture绘制电路原理图。2. 利用orcad/pspice作如下分析:(1)求直流工作点。(2)求温度在一30°c到70°c变化时,两品体管集电极电流的变化曲线。分别变 化了多少?(温度对放大电路静态工作点的影响)(3)分别求无负反馈和冇负反馈时电路的交流输入电阻、交流输出屯阻、电压 增益的变化曲线和通频带。当频率f =100khz时的输入电阻、输出电阻、放大倍 数分别是多少?1. orcad软件屮的文件不能存放在屮文路径下。2. 图中元器件所在库q2n3904/b1p0larr、 c/analogvac、 vdc/sourcegnd/source3求输出电阻时,将输入交流电压源vs短路,负载电阻rl开路,在开路端口接 入一个交流电压源。实验报告格式:(实验一、二、三)实验 x x x x x x x x

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论