基于SystemView的巴克码识别器的课程设计报告_第1页
基于SystemView的巴克码识别器的课程设计报告_第2页
基于SystemView的巴克码识别器的课程设计报告_第3页
基于SystemView的巴克码识别器的课程设计报告_第4页
基于SystemView的巴克码识别器的课程设计报告_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 湖南科技大学信息与电气工程学院课程设计报告 课 程: 通信原理 题 目: 巴克码识别器仿真设计 专 业: 班 级: 姓 名: 学 号: 2016年07月10日任务书题 目基于system view的巴克码识别器的仿真与分析 时间安排自 2015年7月4日 至 2015年7月10日 共 1 周 目 的:利用动态通信系统仿真软件systemview对巴克码识别器的仿真设计及分析方法 pn序列产生器的原理和巴克码识别器的工作过程,利用动态通信系统仿真软件systemview设计了由数据发生器、时钟发生器、pn序列发生器、8位移位寄存器、加法器及逻辑比较器构成的巴克码识别器的仿真电路,并对此次仿真过

2、程中的图符参数设置及巴克码生成波形,巴克码识别输出波形,检测器检测单峰脉冲、数据与时钟的波形覆盖图等仿真结果加以分析。最后对系统性能指标漏同步概率、假同步概率、群同步平均建立时间以及群同步保护加以论述。 要 求:主要要求如下:1、掌握巴克码的特点和巴克码识别器的原理。2、设计一个基于system view的巴克码识别器的仿真。3、对仿真结果进行必要的分析与讨论.总体方案实现:1、认识数字通信系统中群同步码的巴克码的特点和巴克码识别器的原理。2、利用动态通信系统仿真软件system view对巴克码识别器进行仿真设计。3、证明该设计方法的可行性和有效性,通过运用systemview系统成功地仿真

3、出巴克码识别器,检测识别出同步单峰脉冲,为帧同步的实现提供了必要条件,这就证明了基于system view的巴克码识别器的仿真的可行性和有效性。4、对设计中出现的问题以及解决措施进行探讨。 指导教师评语: 评分等级:【 】指导教师签名: 目录摘要- 1 -第一章:设计原理与仿真软件介绍- 3 -1.1 巴克码简介- 3 -1.2 群同步原理- 4 -1.3 连贯式插人法- 4 -1.4 system view简介- 4 -第二章:模块电路设计与参数设置- 4 -2.1 原理框图- 4 -2.1 巴克码生成电路- 5 -2.2 时钟信号实现- 5 -2.3 pn序列产生器- 6 -2.4 检测电

4、路- 8 -第三章 仿真设计步骤- 8 -3.1 系统设计步骤- 8 -第四章 仿真分析- 10 -4.1 各分析接收图符的波形- 10 -4.2 各波形频谱图- 12 -第五章 总结- 14 -5.1 出现的问题及解决办法- 14 -5.2 个人总结- 14 -参考文献- 15 -摘要数字通信系统中群同步码的巴克码的特点和巴克码识别器的原理是整个设计的思想,给出了利用动态通信系统仿真软件systemview对巴克码识别器的仿真设计及分析方法 pn序列产生器的原理和巴克码识别器的工作过程,利用动态通信系统仿真软件systemview设计了由数据发生器、时钟发生器、pn序列发生器、8位移位寄存器

5、、加法器及逻辑比较器构成的巴克码识别器的仿真电路,并对此次仿真过程中的图符参数设置及巴克码生成波形,巴克码识别输出波形,检测器检测单峰脉冲、数据与时钟的波形覆盖图等仿真结果加以分析。最后对系统性能指标漏同步概率、假同步概率、群同步平均建立时间以及群同步保护加以论述。仿真结果证明了该方法的可行性和有效性。关键词:system view;巴克码识别器;仿真设计;群同步码。abstractgroup of synchronous code barker code in digital communication system and the characteristics of the princi

6、ple of barker code recognizer is the soul of the whole design, was given by using the dynamic communication system simulation software systemview simulation design and analysis method of barker code recognizer. the principle of pn sequence generator and the working process of the barker code recogni

7、zer, using dynamic communication system simulation software systemview designed by data generator, clock generator, pn sequence generator, 8 bits shift register, adder and logic in the composition of the comparator barker code discriminator circuit simulation, and the simulation of the glyphs in the

8、 process of parameter setting and barker code to generate the waveform, barker code recognition output waveform, pulse single-peak detector, data and clock waveforms overlay, etc. the results of simulation analysis. finally, the system performance index missed synchronization probability and false s

9、ynchronization probability, group of synchronous average set up time and group synchronization protection. the simulation results show that the method is feasible and effective.key words: the system view.barker code recognizer; the simulation design; group synchronization code.第一章:设计原理与仿真软件介绍1.1 巴克码

10、简介通过资料查询,对巴克码归纳如下:巴克码是50年代初,r.h.巴克提出的一种具有特殊规律的二进制码组。它是一个非周期序列,一个n位的巴克码x1,x2,x3,···xn。),每个码元只可能取值+1或-1,它的自相关函数为:目前已找到的只有10组,并且已经证明在长度小于12100的范围内不存在其他长度的巴克码,已知的其中长度的巴克码如下:n 巴克码组2 + ,+3 +4 +,+5 +7 +11 +13 +表中“+”表示+1,“”表示1。以n = 7的巴克码为例,它的局部自相关函数可求出j2、3、4、5、6、7时的r(j)值分别为1、0、1、0、1、0,再求出j为负值

11、时的自相关函数值,两者一起画在图3-2 中。由图可见,其自相关函数在j0时出现尖锐的单峰,如图所示。图1-1 七位巴克码的自相关函数1.2 群同步原理通信系统的帧同步中的消息数字流总是用若干码元组成一个“字”,又用若干“字”组成一“句”,即组成一个个的“群”进行传输的。因此,在接收这些数字流时,同样也必须知道这些“字”、“句”的起止时刻,在接收端产生与“字”、“句”起止时刻相一致的定时脉冲序列,统称为群同步或帧同步。接收码组与发送码组在结构、频率和相位上须完全一致,否则就不能正常接收所发送的信息,接收到的只是一片噪声。若实现了收发同步但不能保持同步,也无法准确可靠地获取所发送的信息数据。发送端

12、和接收端可以采用高精确度和高稳定度的时钟频率源,以保证频率和相位的稳定性。但在实际应用中,存在许多事先无法估计的不确定因素,如收发时钟不稳定、发送时刻不确定、信道传输时延及干扰等,尤其在移动通信中,这些不确定因素都有随机性,不能预先补偿,因此只能通过同步系统消除。群同步的任务就是在位同步信息的基础上,识别出数字信息群(“字”或“句”)的起止时刻,或者说给出每个群的“开头”和“末尾”时刻。这些特殊的码字应该在信息码元序列中不会出现,或者是偶然可能出现,但不会重复出现,此时只要将这个特殊码字连发几次,收端就能识别出来,接收端根据这些特殊码字的位置使接收设备的帧定时与接收到的信号中的帧定时处于同步状

13、态实现群同步。1.3 连贯式插人法连贯式插人法,又称集中插入法。它是指在每一信息群的开头集中插入作为群同步码组的特殊码组,该码组在信息码中很少出现,其基本要求是具有尖锐单峰特性的自相关函数,便于与信息码区别,码长适当、以保证传输效率。满足此要求的特殊码组有:全0码、全1码、1与0交替码、巴克码、电话基群帧同步码0011011。由于巴克码具有建立时间短、码组易于识别等优点8,因此应用是最普遍的。1.4 system view简介 system view是美国elanix公司推出的基于个人计算机windows环境的动态通信系统仿真工具,它可用于dsp信号处理、滤波器设计以及复杂的通信系统数学模型的

14、建立和仿真分析它的用户界面友好,分析窗口功能强大,用户只需用鼠标点击图符库中的相应图符就能完成各种系统的搭建、设计和仿真分析。第二章:模块电路设计与参数设置2.1 原理框图巴克码识别系统由伪随机序列(数据)发生器、时钟发生器、巴克码生成电路、检测电路共同组成,其中巴克码由时钟脉冲加到pn序列产生器上生成。系统原理框图如图2-1所示图2-1 系统原理框图2.1巴克码生成电路时钟脉冲加到pn序列产生器上生成巴克码,巴克码生成电路如图2-2 所示 图2-2 巴克码生成电路原理图2.2 时钟信号实现 时钟信号:为系统提供一连串稳定的脉冲信号,从而满足系统各部分协

15、同工作需要的稳定的脉冲信号。时钟信号源参数设置:一个周期脉冲序列应等于,或长于指定的脉冲宽度,即保证一周期内有脉冲信号显示。脉冲宽度一般设置为 5e-3 sec即0005s,那么输入频率应小于200hz,因为1/200=0005s,这里频率定义为常用值100hz,具体操作如下。打开systemview仿真软件,从图符库中拖出一个信号源图符“source”到设计窗口,双击该图符,在出现的如图3-4 信号源库窗口中,选择周期信号“periodic”中的脉冲信号“pulse train”,按“parameter”按钮,如图2-3所示。图2-3 信号源库窗口弹出如图2-4参数设置窗口,将电压

16、幅度“amp”定义为1,频率“frequency”定义为100,脉冲宽度“pulsew”定义为5e-3,偏置电压“offset”定义为 -500e-3。按确定退出。图2-4 时钟参数设置窗口2.3 pn序列产生器systemview在通信图符库中给出了一个pn码发生器的图符。只需在该图符的输入端加入一定频率的时钟信号,给出相应的移位寄存器长度、反馈系数(或抽头)和起始种子(seed)便可得到所需的pn序列。下面具体介绍伪随机(pn)序列生成原理。伪随机(pn)序列:可预先确定的,且可以重复地生产和复制的又具有某种随机序列的随机特性(即统计特性)的确定的序列。伪随机序列系列具有良好的随机性和自相

17、关性,并且有预先的可确定性和可重复性。最常见的二进制pn 序列是最大长度线性移位寄存器序列,简称m 序列3,它是由一个线性反馈的n 级移位寄存器生成的。所谓线性反馈,是指反馈函数中仅包含模2 加运算而不含非线性运算。n 级移位寄存器共有2n 个状态,除去全0状态外有2 n -1 种状态,因此它能产生的最大长度的码序列为2 n -1位。这样生成的m 序列是一个以p2 n -1为周期的循环序列。下面介绍一般n 级移位寄存器产生m序列的方法。图2-5是由n 级移位寄存器构成的码序列发生器。寄存器的状态决定于时钟控制下输入的信息(“

18、0”或“1”),例如第i 级移位寄存器决定于前一时钟脉冲后的第i -1级移位寄存器状态。参加反馈的各级输出经多次模2和后把最后结果送入第一级。需要注意的是,结构需有全0检测电路和启动电路,否则由于某种原因(如启动)发生器可能死在全“0”状态。图2-5 n级循环序列发生器的模型图2-7中c0,c1,cn均为反馈线,其中c0=cn=1,表示反馈连接。因为m序列是由循环序列发生器产生的,因此c0和cn肯定为1,即参与反馈。而反馈系数c1,c2,cn-1若为1,参与反馈;若为0,则表示断开反馈线,即开路无反馈连线。反馈系数即反馈信号的抽头系数。以7位巴克码为例,查表2-1知

19、0;n=3,ci =(13)8 ,p2 n-1=7。级数n周期p反馈系数ci(八进制)37134152353145,67,75663103,147,155 表2-1 部分m序列反馈系数表反馈系数ci =(13)8,将它化成二进制数为1011,即相应的反馈系数依次为c0=1, c1=0 ,c2=1,c3=1。那么n=3,ci=(13)8的m序列发生器的电路原理图如图2-6所示。 图2-6 n=3,ci=(13)8的m序列发生器原理图根据图2-6所示电路,假设移位寄存器的初始态为001,在时钟脉冲作用下,逐级移位,码序列产生过程

20、如表2-2所示。d cpd1d2d3d2d30001111000201013101141101511106011070011表2-2 n=3,ci=(13)8的m序列发生器状态表由表2-2可知,经过7个时钟脉冲,又回到起始状态001,输出码序列为10010111001011。可见,码序列周期长度p23-1=7。上面假设一种初始状态,如果反馈逻辑关系不变,换另一种初始状态,则产生的序列仍为序列只是起始位置不同而已,所以起始状态为111时,输出序列为11100101110010,即以7位巴克码1110010为周期。据上述理论,pn序列产生器就可以通过设置仿真出来。2.4 检测电路如图2-

21、7使用一个8位移位寄存器(图符5)的前7位,3个非门(图符6、7、8),加法器(图符9)和比较器(图符16)构成检测电路。信号输出经过比较判决器(图符16)检测识别出大于6的单峰脉冲。 图2-7检测电路原理图第三章 仿真设计步骤4.1 系统设计步骤1).进入system view。双击桌面上的system view快捷图标或单击程序组中的system view即可启动system view。2).点击菜单栏的“file->new system”建立一个新文件。3).定义一个幅度为1v,频率为100hz的数据信号源。从图符库中拖出一个信号源图符 “source”到设计窗口,双击该

22、图符,在出现的信号源库窗口中,选择噪声/伪随机序列“noise/pn”中的伪随机序列信号“pn seq”,按“parameter”按钮,将参数设置窗口中的幅度“amp”定义为1,频率“frequency”定义为100。确定退出。4).定义一个幅度为1v,频率为100hz的信时钟号源。从图符库中拖出一个信号源图符“source”到设计窗口,双击该图符,在出现的信号源库窗口中,选择周期信号“periodic”中的脉冲信号“pulse train”,按“parameter”按钮,将参数设置窗口中的电压幅度“amp”定义为1,频率“frequency”定义为100,脉冲宽度“pulsew”定义为5e-

23、3 ,偏置电压“offset”定义为-500e-3。确定退出。5).定义四个接收图符。拖动一个接收图符“sink” 到设计窗口,点击 复制三个同样的图符,双击它们,将它们都选择分析类“analysis”中的分析接收“analysis”,确定退出。6)按快捷键 切换到通信图符库,从图符库中拖出一个逻辑图符 “ logic”至设计窗口,点击 复制三个同样的图符,双击其中一个,在出现的窗口中,选择“ff/latch/reg”中的8位移位寄存器“shft-8in”按“parameter”按钮,将参数设置窗口中的输出真值“true output”定义为1,输出假值“false out

24、put”定义为-1。确认退出,图符变成 。另外三个图符选择门/缓冲器“gates/buffers”中的逻辑非“invert”,按“parameter”按钮,将参数设置窗口中的输出真值“true output” 定义为1,输出假值 “false output” 定义为-1。确认退出。7)从图符库中拖出一个加法器图符“adder”到设计窗口。8)从图符库中拖出一个图符“comm”到设计窗口,双击该图符,在跳出的窗口中选择“filters/data”中的pn序列产生器“pn gen”,按“parameter”按钮,依据前面理论,n=3,ci=(13)8的m序列故将参数设置窗口中的寄存器长度“reg

25、len”定义为3,种子“seed”定义为7,抽头项“taps”的2和3打勾。确认退出。9)从图符库中拖出一个算子图符“operator”到设计窗口,双击该图符,在跳出的窗口中选择逻辑算子”logic”中的比较器“compare”, 按“parameter”按钮,将参数设置窗口中的比较“comparison”选取“a>b”,真值输出“true output”定义为 2 ,假值输出“ false output”定义为 0 。确认退出。10). 从图符库中拖出一个信号源图符 “source”到设计窗口,双击该图符,在跳出的窗口中选“aperiodic”的阶跃函数“

26、step fct” ,按“parameter”按钮,将参数设置窗口中的电压幅度“amp”定义为0,确认退出,图符变成 。点击 复制一个同样的图符,电压幅度“amp”定义为 6。11)连接图符。将数据信号源输出图符分别连接到移位寄存器图符和接收图符;时钟信号源输出图符分别连接到移位寄存器图符、接收图符和pn序列产生器图符,图符再连接到接收图符;移位寄存器图符的0,2,3口分别经过非门再接到加法器图符,而图符5的1,4,5,6口直接接到加法器图符,另外加法器外加一个阶跃信号源图符4;加法器图符5 输出到判决器图符,最后由判决器图符输出到接收图符。得到如图3-1所示的巴克码识别器仿真系统原理图。 图

27、3-1 仿真系统原理图12).设置系统运行时间。单击工具条中的系统定时“system time”按钮,把采样频率“samp1e rate”设置为载波频率的10倍1e+3 hz,采样点数“no. of samples”设置为1024。13).按创建便笺按钮,加入注释note pad,汉字的颜色和字体可以在文字框内单击右键定义。14).运行系统。单击工具条中的运行按钮运行系统。15).单击“analysis”快捷按钮进入分析窗口,单击窗口工具条的水平分布,使整个窗口排列显示四个图形w0、w1、w2、w3,利于对照观察分析。这时可以看到四个图形w0、w1、w2、w3。16).将三个波形图叠加显示,单

28、击接收计算器按钮,弹出分析窗的接收计算器窗口选择“operatrs”的“overlay plots”分析按钮,按键盘的ctrl键并单击选中w0、w1、w2,就会出现一个新的图形w4,三个波形分别用不同的颜色表示。鼠标双击图形顶部的说明文字,可修改成汉字,命名为“巴克码检测器检测单峰脉冲、数据与时钟的波形覆盖图”。17). 对输出波形进行频谱分析。单击接收计算器按钮,弹出分析窗的接收计算器窗口,选择“spectrum”分析按钮,并分五次选中w0、w1、w2、w3、w4,就会出现五个新的图形,分别对应前面五个波形。18).结束仿真,保存系统。通过选择“file -> save”把刚

29、才设计的内容保存下来。第四章 仿真分析4.1 各分析接收图符的波形信源信号波形如图4-1所示:图4-1 信源信号波形图定义了一个幅度为1v,频率为100hz的数据信号源。通过从图符库中拖出一个信号源图符 “source”到设计窗口,双击该图符,在出现的信号源库窗口中,选择噪声/伪随机序列“noise/pn”中的伪随机序列信号“pn seq”,按“parameter”按钮,将参数设置窗口中的幅度“amp”定义为1,频率“frequency”定义为100。时钟信号波形如图4-2 所示:图4-2 时钟信号波形图显然这是时钟信号波形,且时钟信号源图符1 直接连接到接收图符3,故可确定w1(图4-2)为

30、时钟信号波形。pn序列波形如图4-3所示:图4-3 pn序列波形通过选择“filters/data”中的pn序列产生器“pn gen”, n=3,ci=(13)8的m序列故将参数设置窗口中的寄存器长度“reg len”定义为3,种子“seed”定义为7,抽头项“taps”的2和3打勾后就产生了该图。输出检测波形如图4-4所示图4-4 输出波形巴克码检测器检测单峰脉冲、数据与时钟的波形覆盖图如图4-5所示:图4-5 波形覆盖图而有时仿真得到如图4-5所示的覆盖图,可以看到在同步脉冲前面出现了一组应数据代码与巴克码相同产生的伪同步信号。这是因为无论选用何种巴克码始终存在与同步码组码元序列相同的数据

31、码组。所以使用巴克码组作为帧同步信号,和后面群同步保护讲到的同步码的保护,以实现正确的帧同步,避免伪同步的发生。一般通过判断前后多个同步脉冲的出现周期来剔除伪同步信号。4.2 各波形频谱图 对输出波形进行频谱分析。单击接收计算器按钮,弹出分析窗的接收计算器窗口,选择“spectrum”分析按钮,并分五次选中w0、w1、w2、w3、w4,就会出现五个新的图形,分别对应前面五个波形。信源信号频谱图如图4-6所示图4-6 信源信号频谱图时钟信号频谱图如图4-7所示图 4-7 时钟信号频谱图pn序列频谱图如图4-8所示:图4-8 pn序列频谱图巴克码输出波形频谱图如图4-9所示图4-9巴克码输出波形频谱图组合频谱图如图4-10所示:图4-10组合频谱图:通过以上不同时刻仿真的巴克码检测器检测单峰脉冲、数据与时钟的波形覆盖图的对照观察,看出频谱平稳有规律,失真极小,这也正是数字通信的优点之一。 第五章 总结5.1 出现的问题及解决办法(1)仿真运行时如果看不到单峰脉冲,应重复仿真或加

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论