版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、武汉理工大学硬件描述语言与数字系统设计课程设计说明书课程设计任务书学生姓名: 罗加 专业班级: 电子科学与技术1301班 指导教师: 梁小宇 工作单位: 信息工程学院 题 目: 字符发生器 初始条件:计算机;quartus ;fpga试验箱。要求完成的主要任务: 1了解点阵字符的产生和显示原理。2了解e2prom和16×16点阵led的工作机理。3. 加强对于总线产生,地址定位的fpga实现。4. 显示“武汉理工大学信息工程学院电子科学与技术罗加”。时间安排:1、2016 年1月18日分班集中,布置课程设计任务、选题;讲解课设具体实施计划与课程设计报告格式的要求;课设答疑事项。2、2
2、016年1月19日 至2016年1月21日完成资料查阅、设计、制作与调试;完成课程设计报告撰写。3、2016 年1月22日提交课程设计报告,进行课程设计验收和答辩。指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目录摘要iabstractii绪论11.设计内容及要求21.1设计的主要任务21.2 设计原理22. quartus简介32.1 quartus 概述32.2 quartus 特点32.3 quartus 的安装及启动33字符发生器的原理图及方法53.1 设计思路53.2 各模块的作用54器件及元件功能74.1 74ls161和两输入与非门用来构成十三进制计数器74.2
3、 address模块84.3分频器104.4 rom256x16存储器114.5点阵模块115 总体设计电路126 结论13参考文献:16附录17武汉理工大学硬件描述语言与数字系统设计课程设计说明书摘要在大型商场、车站、码头、地铁站以及各类办事窗口等越来越多的场所需要用led点阵显示图形和汉字。led行业已成为一个快速发展的新兴产业,市场空间巨大,前景广阔。随着信息产业的高速发展,led显示作为信息传播的一种重要手段,已广泛应用于室内外等公众场所,例如户内外公共场所广告宣传、机场车站旅客引导信息、公交车辆报站系统、证券与银行信息显示、餐馆报价信息豆示、高速公路可变情报板、体育场馆比赛转播、楼宇
4、灯饰、交通信号灯、景观照明等。显然,led显示已成为城市亮化、现代化和信息化社会的一个重要标志。 本设计基于eda讲述了16×16 led汉字点阵显示的基本原理、硬件组成与设计、程序编译与下载等基本环节和相关技术。关键字:eda;信息传播;16×16 led汉字点阵。abstractin the large shopping malls, railway stations, docks, underground station and a variety of things such as window areas need more graphics and charac
5、ter led dot matrix display. led industry has become a fast-growing new industry, a huge market space and bright future. with the rapid development of information industry, led display as an important means of information dissemination, has been widely used in indoor and outdoor services, content and
6、 services needed publicity purposes in public places, public places such as indoor and outdoor advertising, airport station to guide visitors information, public transport vehicles stop announcement systems, securities and banking information display, restaurants offer information bean said highway
7、variable information panels, relay race stadiums, building lighting, traffic lights, landscape lighting. clearly, led lighting display has become a city, modern and information society is an important sign.based on the eda about 16 × 16 led dot matrix display of the basic principles of chi
8、nese characters, hardware and design, compile and download programs and other basic links and related technologies.keywords:eda;information dissemination;16 × 16 led dot-matrix characters。ii绪论一个汉字,常见的是16×16的点阵,共256个发光点。 这些点,并不是同时发光的。如果每一瞬间,只有一行发光,那就需要把整个的汉字“横向取模”,送到显示屏1;如果每一瞬间,只有一列发光,那就需要把
9、整个的汉字“纵向取模”,送到显示屏1。1. led的显示原理: 16×16扫描led点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个led显示灯,所以其扫描译码地址需4位信号线(sel0-sel3),其汉字扫描码由16位段地址(l0-l15)输入。 通过时钟的每列扫描显示完整汉字。 2. 本试验实现输出“武汉理工大学信息工程学院电子科学与技术罗加”这些汉字。1.设计内容及要求1.1设计的主要任务设计内容1了解点阵字符的产生和显示原理。2了解e2prom和16×16点阵led的工作机理。3. 加强对于总线产生,地址定位的epld实现。4.
10、显示“武汉理工大学信息工程学院电子科学与技术罗加”。1.2 设计原理16×16扫描led点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个led显示灯2。所以其扫描译码地址需4位信号线。2864 e2prom存贮器是电可擦除/编程的只读存贮器,容量为8k×8bit ,有13位并行地址线和8位并行数据线,而一个完整的字符所需的存贮容间为32字节即32×8 bit,也就是说2864最多可连续存256个16×16点阵字形。存贮方式可事先约定好。本设计就是要通过epld芯片产生读时序,将字形从2864中读出,然后产生写时序,写入
11、16×16的点阵,使其扫描显示输出。2. quartus简介2.1 quartus 概述quartus 是altera公司推出的新一代开发软件,适合于大规模逻辑电路设计,是altera公司的第4代可编程逻辑器件集成开发环境,提供了从设计输入到器件编程的全部功能,与max+plus 相比,quartus 设计软件增加了网络编辑功能,提升了调试能力,解决了潜在的设计延迟,同时其强大的设计能力和直观易用的接口,受到数字系统设计者的普遍欢迎。2.2 quartus 特点quartus 可以产生并识别edif网表文件、vhdl网表文件和verilog hdl网表文件,为第三方eda工具提供了方
12、便的接口。quartus 支持一个工作组环境下的设计要求,包括支持基于internet的协作设计,与cadence,exemplarlogic,mentorgraphics,synopsys和synplicity等eda供应商的开发工具相兼容。quartus 作为一种设计环境,除支持altera的apex 20ke,apex 20kc,apex,arm的excalibur嵌入式处理器方案,mercury,flex10ke和acex1k之外,还支持max3000a和max7000系列乘积项器件3。quartus 增加了一个新的快速适配编译选项,可缩短50%的编译时间。快速适配功能保留了最佳性能的
13、设置,加快了编译过程,编译速度更快,对设计性能的影响最小。2.3 quartus 的安装及启动开始安装,双击quarters 安装包中setup.exe,单击下一步即可顺利完成。双击quarters 桌面快捷方式,即可打开如下图2.1所示quarters 设计软件界面。图2.1 quarters 设计软件界面3字符发生器的原理图及方法时钟脉冲分频器地址计数器rom存储器address16x16点阵显示器1hz脉冲图3.1 字符发生器的方框图3.1 设计思路字符要用16x16点阵显示所以涉及到行列的显示,根据字符将16x16点阵中所需点亮的二极管的内容存储到rom存储器中,同时列依次扫描,计数器
14、对16x16点阵显示器的行进行循环计数。3.2 各模块的作用此电路由分频器,十三进制计数器,rom存储器,地址计数器组成。分频器的作用是将50mhs的信号分为25khz信号,提供给地址计数器与rom存储器作为所需的时钟信号。地址计数器的作用是在时钟信号作用下,将从rom中读出的信号对应正确的位置上的数值显示在点阵上。reset是复位端,起复位作用,低电平时起作用。输出端qout15.0对应点阵上的l0l15,为点阵的行驱动信号输出, ad3.0对应点阵上的列,随着ad3.0的增加依次对列扫描。十三位进制计数器的输出与地址计数器的输出共同作用在rom的输入,由此决定qout15.0的输出,使得输
15、出字符循环显示。此十三进制计数器是由74ls161实现。rom是一个rom256x16的存储数据的具有读写功能的存储器,设计中存储着“武汉理工大学信息工程学院电子科学与技术罗加”这二十一个字,在ad7.0输入相应地址时读取rom256x16中相应的数据然后后经q15.0输出。主要功能是存储数据。图3.2 点阵原理图 4器件及元件功能4.1 74ls161和两输入与非门用来构成十三进制计数器图4.1 器件图ldn:同步置数端 ; ent/ enp:使能信号控制端 ; clrn:异步置零端; clk:时钟信号输入端 ; a .b. c. d:输入端;rco:进位信号输出端; qa .qb. qc.
16、 qd:输出端。74ls161为同步可预置数四位二进制计数器。图4.2 74ls161内部结构表4.1 74ls161功能表clkclrnldnentenp工作状态x0xxx置零10xx预置数1111计数x1100保持x11xx保持图4.3十三进制加法计数器原理图图4.4 波形仿真图4.2 address模块图4.5 address模块原理图clk为时钟信号输入端;reset为复位端,低电平有效;din15.0为接受rom数据的端口;ad3.0为点阵显示器的列驱动信号;qout15.0为行驱动信号。clk1为扫描时钟信号,控制扫描速度的快慢,当reset复位端为高电平情况下(即不起作用时),脉
17、冲上升沿到来时,ad计数扫描信号端依次选中点阵的各列,din输入存储器中的数据,qout依次输出,在点阵上扫描显示生成地址选择的设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder is port(clk,reset:in std_logic; din :in std_logic_vector(15 downto 0); ad :out std_logic_vector(3 downto 0); qout :out std_logic_vector(15 downto
18、0);end adder;architecture a of adder isbegin process(clk,din,reset) variable q1:std_logic_vector(3 downto 0):="0000" begin if clk'event and clk='1' then if reset='0'or(q1="1111")then q1:="0000" else q1:=q1+1; end if; qout<=din; end if; ad<=q1;
19、end process;end a; 图4.6 仿真波形4.3分频器图4.7分频器生成分频器div的设计程序library ieee; -分频器use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin is port(clkin: in std_logic; clkout: out std_logic);end entity;architecture behav of fenpin issignal cnt17 :std_logic_vector(16 downto 0); begin process(c
20、lkin) begin if rising_edge(clkin) then cnt17<=cnt17+1; end if; clkout<=cnt17(16); end process;end behav;图4.8 分频仿真4.4 rom256x16存储器图4.9 rom256x16存储器存入rom的数据width=16;depth=256;4.5点阵模块本模块使用的16*16 点阵,这样就可以方便显示汉字。点阵模块实际上是 16*16个led 组成的,每一行阴极连在一起,每一列的阳极也连在一起,这样就有了16个阴极端和16个阳极端。通过这32个端口就可以任意控制一个led 点的
21、亮和灭5。为了减少 fpga管脚的占用,我们将列信号设置成动态扫描的形式,用一个 4-16译码器74154 来控制列信号。4-16译码器74154和点阵模块对应的 io管脚已经在电路板上标注,具体引脚见表4.2和表4.3。表4.2 74154引脚说明列输入的4-16译码器名称管脚aac18bad17cak16dak19表4.3 点阵模块引脚说明行输入引脚对应实验箱上名称管脚hin1aj17hin2ah18hin3ag16hin4af16hin5aa18hin6ae17hin7ah19hin8ah20hin9ad19hin10ae18hin11af20hin12af19hin13af18hin1
22、4ag18hin15aj21hin16ag205 总体设计电路图5.1 总体设计原理图图5.2 仿真波形工作情况输入十六进制计数器变为十三进制计数器,在输入时钟信号作用下输出0000-1100的地址数据再和adder的输出端adder3.0共同作为了rom的输入端,控制rom中各数据的输出,然后数据从q15.0输出到adder中,经过列循环扫描ad3.0, qout15.0同时输出到16x16点阵上。两频率必须相差一定数值。从波形仿真图中可以得到:adder每变化十六下,输出qout变化十六次,即一个字输出的整个过程。模块间的连接关系1、硬件连接将clk1端接时钟输出,并使输入频率约为25kh
23、z时钟信号,clk端接另一时钟输出,并使输入频率是100hz时钟信号;qout15.0分别接点阵显示模块的l15l0,ad3.0分别接点阵显示模块的sel3sel0。clk对应io3,用导线连接io3与clk_out,调节sw17sw20使clk_out输出所需频率。reset对应cpu板的reset复位按键。四位功能选择位vga3.0设置为0110。2、管脚说明(1)ad3.0为列地址控制,定义在4位地址线上。(2)qout15.0为行数据输出,定义在16位数据总线上。(3)vga3.0为功能选择管脚,vga=0110,表示16x16led点阵显示功能选取,16位数据总线作为点阵的行值,4位
24、地址对应列值编码。 (4)clk为输入时钟频率端口,定义在ep1c12板上的50mhz晶振上。6 结论通过这次设计性实验,我觉得培养自己的独立分析问题和解决问题的能力才是关键。培养这种能力的前提是对每次实验都有一个好的态度。通过这次eda课程设计,我掌握了系统的数学电子设计的方法,熟悉使用调试适配的具体操作方法。在设计过程中我们遇到了许多问题,在老师的耐心的指导和我自己的努力下,最终我克服了各种问题,取得了成功。但是我也发现了一些问题,发现了这自己的不足,在课程设计方面自己的一些欠缺。如程序的正确性和完整性,仿真图的正确性,以及管脚的连接等。总之,这次设计使我掌握了很多有用的经验,也学到了很多
25、在课本上学不到的知识和一些操作技巧,为以后的学习和工作打下了坚实的基础,感谢学校给我们这么一个机会,让我们自身得到了锻炼,也感谢老师在此期间,对我们的耐心教导。参考文献:1宋嘉玉,孙丽霞eda实用技术北京:人民邮电出版社,2006.12 2齐洪喜,陆颖vhdl电路设计实用技术北京:清华大学出版社,2004.53章彬宏eda应用技术北京:北京理工大学出版社,2007.74汉泽西eda技术及其应用北京:北京航空航天大学出版社,2004.55谭会生eda技术基础长沙:湖南大学出版社,2004.8附录library ieee;use ieee.std_logic_1164.all;entity bit
26、map isport(clock:in std_logic; hang_1:out std_logic_vector(15 downto 0); lie_1:out std_logic_vector(3 downto 0);end bitmap;architecture one of bitmap iscomponent fenpin port(clk:in std_logic; out_saomiao:out std_logic);end component;component bitmap_hang port(clk:in std_logic; hang:out std_logic_vec
27、tor(15 downto 0); end component;component bitmap_lieport(clk1:in std_logic; lie:out std_logic_vector(3 downto 0);end component;signal a:std_logic;begin u1:fenpin port map(clk=>clock,out_saomiao=>a); u2:bitmap_hang port map(clk=>a,hang=>hang_1); u3:bitmap_lie port map(clk1=>a,lie=>l
28、ie_1); end one;library ieee; -hanguse ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bitmap_hang isport(clk:in std_logic; hang:out std_logic_vector(15 downto 0);end bitmap_hang;architecture one of bitmap_hang isbegin process(clk) variable a:integer range 0 to 15; variable b:integer:=
29、0; variable c:integer:=0; begin if clk'event and clk='1' then a:=a+1; b:=b+1; if b=100 then b:=0; c:=c+1; if c=320 then c:=0; end if; end if;end if; case a+c is when 0=> hang<="1111110111111111" -武 when 1=> hang<="1111010101111111" when 2=> hang<=&qu
30、ot;1110110100000011" when 3=> hang<="1110110111110111" when 4=> hang<="1111110110111111" when 5=> hang<="1000000000000000" when 6=> hang<="1111110111111111" when 7=> hang<="1111110111011111" when 8=> hang<=&qu
31、ot;1111110111011111" when 9=> hang<="1111110100011011" when 10=>hang<="1111101111011011" when 11=>hang<="1011101111011011" when 12=>hang<="1011011111011011" when 13=>hang<="1010111000011011" when 14=>hang<=&qu
32、ot;1001111111110000" when 15=>hang<="1011111111111101" when 16=>hang<="1111111111111111" -汉 when 17=>hang<="1110000000011011" when 18=>hang<="1110111110110111" when 19=>hang<="1110111110110111" when 20=>hang<=
33、"1110111110111110" when 21=>hang<="1111011101111101" when 22=>hang<="1111011101101101" when 23=>hang<="1111011101101111" when 24=>hang<="1111101011110111" when 25=>hang<="1111101011110111" when 26=>hang<=
34、"1111110110111000" when 27=>hang<="1111110111111011" when 28=>hang<="1111101011111011" when 29=>hang<="1111011101111011" when 30=>hang<="1110111110111011" when 31=>hang<="1001111111001111" when 32=>hang<=
35、"1111111111111111" -理 when 33=>hang<="1100000001111111" when 34=>hang<="1101101101000000" when 35=>hang<="1101101101110111" when 36=>hang<="1100000001110111" when 37=>hang<="1101101101110111" when 38=>hang&l
36、t;="1101101101110111" when 39=>hang<="1100000001000001" when 40=>hang<="1111101111110111" when 41=>hang<="1111101111110111" when 42=>hang<="1100000001110111" when 43=>hang<="1111101111110111" when 44=>hang&l
37、t;="1111101111000111" when 45=>hang<="1111101111111000" when 46=>hang<="1000000000111101" when 47=>hang<="1111111111111111" when 48=>hang<="1111111111111111" -工 when 49=>hang<="1111111111111111" when 50=>han
38、g<="1000000000000011" when 51=>hang<="1111111011111111" when 52=>hang<="1111111011111111" when 53=>hang<="1111111011111111" when 54=>hang<="1111111011111111" when 55=>hang<="1111111011111111" when 56=>han
39、g<="1111111011111111" when 57=>hang<="1111111011111111" when 58=>hang<="1111111011111111" when 59=>hang<="1111111011111111" when 60=>hang<="1111111011111111" when 61=>hang<="0000000000000001" when 62=>han
40、g<="1111111111111111" when 63=>hang<="1111111111111111" when 64=>hang<="1111111011111111" -大 when 65=>hang<="1111111011111111" when 66=>hang<="1111111011111111" when 67=>hang<="1111111011111111" when 68=>
41、hang<="1111111011111111" when 69=>hang<="0000000000000001" when 70=>hang<="1111111011111111" when 71=>hang<="1111111011111111" when 72=>hang<="1111110101111111" when 73=>hang<="1111110101111111" when 74=>
42、hang<="1111101110111111" when 75=>hang<="1111101110111111" when 76=>hang<="1111011111011111" when 77=>hang<="1110111111101111" when 78=>hang<="1101111111110111" when 79=>hang<="0011111111111001" when 80=>
43、hang<="1110111110111011" -学 when 81=>hang<="1110111101110111" when 82=>hang<="1111011101110111" when 83=>hang<="1111101111111111" when 84=>hang<="1000000000000001" when 85=>hang<="1011111111111101" when 86=&
44、gt;hang<="1101111111111110" when 87=>hang<="1111100000000111" when 88=>hang<="1111110111111111" when 89=>hang<="1111111001111111" when 90=>hang<="1000000000000000" when 91=>hang<="1111111011111111" when 92=&
45、gt;hang<="1111111011111111" when 93=>hang<="1111111011111111" when 94=>hang<="1111111010111111" when 95=>hang<="1111111101111111" when 96=>hang<="1111110111101111" -信 when 97=>hang<="1111101111101111" when 9
46、8=>hang<="1000000000101111" when 99=>hang<="1111111111110111" when 100=>hang<="1111111111101111" when 101=>hang<="1100000011100111" when 102=>hang<="1111111111100111" when 103=>hang<="1111111111110101" wh
47、en 104=>hang<="1100000001110110" when 105=>hang<="1111111111110111" when 106=>hang<="1111111111110111" when 107=>hang<="1100000001110111" when 108=>hang<="1101111101110111" when 109=>hang<="1101111101110111&qu
48、ot; when 110=>hang<="1100000001110111" when 111=>hang<="1101111101110111"1 when 112=>hang<="1111111101111111" -息 when 113=>hang<="1111111110111111" when 114=>hang<="1110000000001111" when 115=>hang<="111011111
49、1101111" when 116=>hang<="1110000000001111" when 117=>hang<="1110111111101111" when 118=>hang<="1110000000001111" when 119=>hang<="1110111111101111" when 120=>hang<="1110000000001111" when 121=>hang<="111
50、0111111101111" when 122=>hang<="1111111101111111" when 123=>hang<="1101111011101111" when 124=>hang<="1011011011101101" when 125=>hang<="1011011111101101" when 126=>hang<="1111000000011110" when 127=>hang<=&qu
51、ot;1111111111111111" when 128=>hang<="1111111111111111" -工 when 129=>hang<="1111111111111111" when 130=>hang<="1000000000000011" when 131=>hang<="1111111011111111" when 132=>hang<="1111111011111111" when 133=>han
52、g<="1111111011111111" when 134=>hang<="1111111011111111" when 135=>hang<="1111111011111111" when 136=>hang<="1111111011111111" when 137=>hang<="1111111011111111" when 138=>hang<="1111111011111111" when 139=&
53、gt;hang<="1111111011111111" when 140=>hang<="1111111011111111" when 141=>hang<="0000000000000001" when 142=>hang<="1111111111111111" when 143=>hang<="1111111111111111" when 144=>hang<="1111111111101111" -程 w
54、hen 145=>hang<="1100000001000111" when 146=>hang<="1101111101110000" when 147=>hang<="1101111101110111" when 148=>hang<="1101111101110111" when 149=>hang<="1100001001000000" when 150=>hang<="1111111111110111&q
55、uot; when 151=>hang<="1111111111110011" when 152=>hang<="1000000001100011" when 153=>hang<="1111101111010101" when 154=>hang<="1111101111010101" when 155=>hang<="1100000001110110" when 156=>hang<="111110111111
56、0111" when 157=>hang<="1111101111110111" when 158=>hang<="1000000001110111" when 159=>hang<="1111111111110111" when 160=>hang<="1110111110111011" -学 when 161=>hang<="1110111101110111" when 162=>hang<="111
57、1011101110111" when 163=>hang<="1111101111111111" when 164=>hang<="1000000000000001" when 165=>hang<="1011111111111101" when 166=>hang<="1101111111111110" when 167=>hang<="1111100000000111" when 168=>hang<=&qu
58、ot;1111110111111111" when 169=>hang<="1111111001111111" when 1700=>hang<="1000000000000000" when 171=>hang<="1111111011111111" when 172=>hang<="1111111011111111" when 173=>hang<="1111111011111111" when 174=>hang&
59、lt;="1111111010111111" when 175=>hang<="1111111101111111" when 176=>hang<="1111110111111111" -院 when 177=>hang<="1111101111100001" when 178=>hang<="1000000000101101" when 179=>hang<="1010111110110101" when 180=
60、>hang<="1101111111010101" when 181=>hang<="1110010001111001" when 182=>hang<="1111111111110101" when 183=>hang<="1111111111101101" when 184=>hang<="1000000000101101" when 185=>hang<="1111011011101101" whe
61、n 186=>hang<="1111011011101001" when 187=>hang<="1111011011110101" when 188=>hang<="1011011101111101" when 189=>hang<="1011011101111101" when 190=>hang<="1000111110111101" when 191=>hang<="1111111111011101&quo
62、t; when 192=>hang<="1111111101111111" -电 when 193=>hang<="1111111101111111" when 194=>hang<="1111111101111111" when 195=>hang<="1110000000000011" when 196=>hang<="1110111101111011" when 197=>hang<="1110111101111011" when 198=>hang<="1110111101111011" when 199=>hang<="1110000000000011" when 200=>hang<="1110111101111011" when 201=>hang<="1110111101111011" when 202=>hang<="1110111101111011" when
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 【初中生物】真菌-2024-2025学年七年级生物上册同步教学课件(人教版2024)
- 【初中生物】微生物的分布-2024-2025学年七年级生物上册同步备课课件(人教版2024)
- 2024就智能工厂建设与运营的合资合同
- 2024年度清雪业务承包合同
- 2024年度特许经营与加盟合同
- 2024建设工程的项目合作协议合同范本
- 2024个人小额贷款合同
- 2024股份合伙人合同范本
- 2024年工程设计合作伙伴协议
- 2024年度原材料采购担保合同
- 工业自动化系统集成项目验收方案
- 新教科版科学六年级上册全册实验汇总 (超全)
- 王洪图黄帝内经80课时讲稿
- 摊铺机司机班组级安全教育试卷
- 重症肌无力指南
- 限制被执行人驾驶令申请书
- 项目主要施工管理人员情况
- 个人借条电子版模板
- 关于学习“国语普通话”发声亮剑【三篇】
- 玻璃厂应急预案
- 婴幼儿游戏照料(婴幼儿回应性照护课件)
评论
0/150
提交评论