数控分频器设计学习数控分频器的设计分析和测试方法_第1页
数控分频器设计学习数控分频器的设计分析和测试方法_第2页
数控分频器设计学习数控分频器的设计分析和测试方法_第3页
数控分频器设计学习数控分频器的设计分析和测试方法_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验六:数控分频器设计一、 实验目的学习数控分频器的设计、分析和测试方法。二、 实验内容1 在实验系统上硬件验证例5-1 的功能。可选实验电路模式1:键2/键1 负责输入8位预置数d(pio7-pio0);clk 由clock0 输入,频率选65536hz 或更高(确保分频后落在音频范围);输出fout 接扬声器(spker)。编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。2将例5-1 扩展成16 位分频器,并提出此项设计的实用示例,如pwm 的设计等。3实验报告:根据以上的要求,将实验项目分析设计,仿真和测试写入实验报告。三实验程序library ieee;use i

2、eee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dvf is port(clk:in std_logic; d:in std_logic_vector(7 downto 0); fout:out std_logic);end;architecture one of dvf is signal full:std_logic;begin p_reg:process(clk) variable cnt8:std_logic_vector(7 downto 0); beginif clk'event and clk=&

3、#39;1'then if cnt8="11111111"then cnt8:=d; full<='1' else cnt8:=cnt8+1; full<='0' end if;end if;end process p_reg;p_div:process(full)variable cnt2:std_logic;beginif full'event and full='1' then cnt2:=not cnt2; if cnt2='1' then fout<='1'else fout<='0' end if;end if ;end process p_div;end;四,实验仿真结果五 心得在这次的试验中我学习了在实验系统上硬件验证例5-1 的功能。可选实验电路模式1:键2/键1 负责输入8位预置数d(pio7-pio0);clk 由clock0 输入,频率选65536hz 或更高(确保分频后落在音频范围);输出fout 接扬声器(spker)。编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。我刚开始不会看模式一的键盘锁定,后来在同学的帮助下会了,仿

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论