简易数字电压表的设计微机原理与应用课程设计_第1页
简易数字电压表的设计微机原理与应用课程设计_第2页
简易数字电压表的设计微机原理与应用课程设计_第3页
简易数字电压表的设计微机原理与应用课程设计_第4页
简易数字电压表的设计微机原理与应用课程设计_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、湖南工程学院课 程 设 计课程名称 微机原理与应用课程设计 课题名称 简易数字电压表 专 业 电气工程及其自动化 设计内容与设计要求设计内容:设计一个简易数字电压表,设计内容包括:(1) 使用串行ad转换器(tlc2543/tlc1543)或并行ad转换器(adc0809)对外部模拟电压进行测量。(2) 使用4位led或6位led对测量结果(需转化为工程量)进行显示。(3) 能通过键盘对转换通道进行选择。设计要求:1)确定系统设计方案; 2)进行系统的硬件设计;3)完成必要的参数计算与元器件选择;4)完成应用程序设计;5)进行软硬件调试;目录课程设计书 2第一章 系统总体方案选择明 4第二章

2、系统结构框图与工理 4第三章 各单元硬件设计说明及计法 53.1 a/d转换模块adc0809电路说明53.2 信号脚 63.3 控制模块单片机电路说明 83.4显示模块led数码显示电路说明9第四章 软件设计与说明(包括流程图). 10第五章 调试结果与必要的调试说明 125.1 调试过程.125.2调试结果.13第六章 使用说明.13第七章 程序清单.13第八章 总结.18参考文献.19附录.191.系统总体方案选择与说明本电路采用模块化设计,主要由a/d转换模块、控制模块和led显示模块组成(如图1.0)。控制模块 led显示模块 a/d转换模块外 部 电 压 图1.0系统总体硬件框图2

3、.系统结构框图与工作原理被测电压基准电压源积分rc元件3 12位 a/d转换器a/d转换器显示电路字位驱动电路vref图 2.0数字电压表原理框图框图功能说明基准电源:提供a/d转换参考电压,基准电压的精度和稳定性是影响转换精度的主要因素。a/d电路:a/d转换器是数字电压表的核心部件,由它完成模拟量转换为数字量的任务。译码驱动电路:将二-十进制(bcd)码转换成七段供led发光管显示信号。显示电路:将译码器输出的七段信号进行数字显示,即a/d转换结果。积分rc元件:通过对rc元件的选取,控制测量量程。字位驱动电路:根据a/d器上ds4ds1端的位选信号,控制显示部分个、十、百、千位哪一位上进

4、行显示。3.各单元硬件设计说明及计算方法3.1 a/d转换模块adc0809电路说明图3.0中多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用一个a/d转换器进行转换,这是一种经济的多路数据采集方法。地址锁存与译码电路完成对a、b、c 3个地址位进行锁存和译码,其译码输出用于通道选择,其转换结果通过三态输出锁存器存放、输出,因此可以直接与系统数据总线相连。 3.1adc0809内部逻辑结构3.2信号引脚adc0809芯片为28引脚为双列直插式封装,其引脚排列见图3.1。对adc0809主要信号引脚的功能说明如下:in7in0模拟量输入通道ale地址锁存允许信号。对应ale上跳沿,a、b

5、、c地址状态送入地址锁存器中。start转换启动信号。start上升沿时,复位adc0809;start下降沿时启动芯片,开始进行a/d转换;在a/d转换期间,start应保持 低电平。本信号有时简写为st.a、b、c地址线。 通道端口选择线,a为低地址,c为高地址,引脚图中为adda,addb和addc。其地址状态与通道对应关系见表9-1。clk时钟信号。adc0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。通常使用频率为500khz的时钟信号eoc转换结束信号。eoc=0,正在进行转换;eoc=1,转换结束。使用中该状态信号即可作为查询的状态标志,又可作为中断请求信

6、号使用。d7d0数据输出线。为三态缓冲输出形式,可以和单片机的数据线直接相连。d0为最低位,d7为最高 oe输出允许信号。用于控制三态输出锁存器向单片机输出转换得到的数据。oe=0,输出数据线呈高阻;oe=1,输出转换得到的数据。vcc +5v电源。 vref参考电源参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基准。其典型值为+5v(vref(+)=+5v, vref(-)=-5v). 图3.2 adc0809引脚3.3 控制模块单片机电路说明at89c51(如图3.3)是一种带4k字节闪烁可编程可擦除只读存储器(fperom)的低电压,高性能cmos8位微处理器,俗称单片机。主要管脚

7、说明:p0口:p0口为一个8位漏级开路双向i/o口,每脚可吸收8ttl门电流。当p1口的管脚第一次写1时,被定义为高阻输入。p0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在fiash编程时,p0 口作为原码输入口,当fiash进行校验时,p0输出原码,此时p0外部必须被拉高。 p1口:p1口是一个内部提供上拉电阻的8位双向i/o口,p1口缓冲器能接收输出4ttl门电流。在flash编程和校验时,p1口作为第八位地址接收。 p2口:p2口为一个内部上拉电阻的8位双向i/o口,p2口缓冲器可接收,输出4个ttl门电流。p2口在flash编程和校验时接收高八位地址信号和控制信号。

8、 p3口:p3口管脚是8个带内部上拉电阻的双向i/o口,可接收输出4个ttl门电流。p3口也可作为at89c51的一些特殊功能口。如:p3.3 /int1(外部中断1)。p3口同时为闪烁编程和编程校验接收一些控制信号。 rst:复位输入。当振荡器复位器件时,要保持rst脚两个机器周期的高电平时间。 ale/prog:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在flash编程期间,此引脚用于输入编程脉冲。在平时,ale端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一

9、个ale脉冲。如想禁止ale的输出可在sfr8eh地址上置0。此时,ale只有在执行movx,movc指令是ale才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ale禁止,置位无效。 xtal1:反向振荡放大器的输入及内部时钟工作电路的输入。 xtal2:来自反向振荡器的输出。振荡器特性:xtal1和xtal2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,xtal2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。 3.3at89c5

10、1引脚图3.4显示模块led数码显示电路说明四位一体led数码显示器分别采用位选和段选位来控制,ag为段选位,14为选为位,dp位小数点控制位如图2.7。当其为有效信号时则被点亮。图1.3四位动态数码管动态数码显示采用循环点亮的方式即假设第一位点亮则其它都不点亮,因此如果要显示数据即要不停的循环点亮,利用余晖效应显示出说有的数据。 3.4led数码显示引脚图4.系统的软件设计程序设计(programming)是指设计、编制、调试程序的方法和过程。在单片机控制系统中,大体上可分为数据处理、过程控制两个基本类型。为了完成上述任务,在进行软件设计时,通常采用模块程序设计法。本系统软件采用模块化结构,

11、由主程序数据接收子程序、数据转换子程序、数据显示子程序构成(程序见附件)。 图4.1数据接收程序设计 图4.2数据接收子程序流程图将接收的数据除以51商存入51单元将余数除以5将商存入52单元余数存入53单元返回主程序 4.3 数据转换程序设计关段选位 开段选第一位和小数点位设123置循环外次数r7=10 将51单元内的数显示并延时设置循环内次数r6=100 开段选第二位 r6-1=0 将52单元内的数显示并延时n y 开段选第三位nr7-1=0将53单元内的数显示并延时y 返回 返回主程序 图4.4延时子程序流程图 图4.5数据显示子程序流程图5.调试结果与必要的调试说明5.1 调试过程由于

12、adc0809在进行a/d转换时需要有clk信号,而此时的adc0809的clk是接在at89c51单片机的p3.3端口上。也就是要求从p3.3输出clk信号供adc0809,使用。因此产生clk信号的方法就得用软件来产生了。由于adc0809的参考电压vref=vcc,所以转换之后的数据要经过数据处理,在数码管上显示出电压值。实际显示的电压值(d/56*vref)在实验过程中,发现了数码管显示的电压在测试的那一路电压正常显示,而其他路为不定的电压值,(电压为悬浮电压),加一个排阻接地后使它和低电平相连,在未加电压的情况下为低电平,数码管显示值为0值。用原程序进行调试时,不执行报警和通道选择、

13、单路选择(原程序少了通道选择函数和报警函数)。多次修改和添加原程序后能够达到要求。5.2调试结果通过对上述模块的调试,可以看出基于单片机控制的电压表在proteus软件上可以很好的实现显示模块、ad转换模块的仿真。当仿真开始运行时,各个模块处于初始状态。当滑动变阻器改变时,显示的电压值也相应改变。因此,从仿真结果可以看出,本设计可以得到预期的仿真效果。 6. 使用说明a插好芯片,结好电路。b将输入端接地,接通+5v,-5v电源(先结好地线),此时显示器将显示“000”值,如果不是,应检测电源正负电压。c用电阻、电位器构成一个简单的输入电压调节电路,调节电位器,4位数码管将相应变化。7程序清单

14、port0809 equ 0cfa0hadvalue equ 50hadtemp_hi equ 51hadtemp_mi equ 52hadtemp_lo equ 53hdisptemp1 equ 54h;54h-59hdisptemp2 equ 55h;54h-59hdisptemp3 equ 56h;54h-59hdisptemp4 equ 57h;54h-59hdisptemp5 equ 58h;54h-59hdisptemp6 equ 59h;54h-59h org 0000h ajmp main org 0030hmain: mov sp,#60h ;显示缓冲区初始化 mov dis

15、ptemp1,#11h;- mov disptemp2,#11h;- mov disptemp3,#11h;- mov disptemp4,#11h;黑 mov disptemp5,#11h;d mov disptemp6,#11h;astart: mov dptr,#port0809 ;adc0809的入口地址 mov a,#00h movx dptr,a ;启动a/d挂换 mov r0,#0ffhloop1: djnz r0,loop1 ;等待a/d转换结束,才可读取结果 jnb p3.2,$ ;查询方式 movx a,dptr ;取出a/d转换结果 mov advalue,a lcall

16、 ad_calc a/d转换结果计算子程序todisp: lcall disp1 ;p1显示子程序 lcall delay1 ;采样延时子程序 ljmp start ;循环ad_calc: push psw push acc mov a,advalue ;255/51=5.0 mov b,#51 div ab mov adtemp_hi,a mov a,b clr f0 subb a,#1ah mov f0,c mov a,#10 mul ab mov b,#51 div ab jb f0,covloop_1 add a,#5covloop_1: mov adtemp_mi,a mov a,b

17、 clr f0 subb a,#1ah mov f0,c mov a,#10 mul ab mov b,#51 div ab jb f0,covloop_2 add a,#5covloop_2: mov adtemp_lo,a pop acc pop psw retdisp1: push acc push 00h ;占用r0 push 01h ;占用r1 push 02h ;占用r2 push 03h ;占用r3 clr a mov p1,a ;送显码输出,p1数据口地址 mov r2,#0feh mov r3,#06h mov disptemp1,adtemp_lo ;ad转换结果送显示缓冲

18、区 mov disptemp2,adtemp_mi mov disptemp3,adtemp_hi mov r1,#disptemp1disploop: cjne r1,#disptemp3,disp2 mov a,r1 lcall table ;转换为显码 add a,#80h sjmp disp3disp2: mov a,r1 lcall table ;转换为显码disp3: mov p1,a ;送显码输出,p1数据口地址 mov a,r2 mov p3,a lcall delay12 clr a mov p1,a ;送显码输出,p1数据口地址 mov a,r2 rl a mov r2,a

19、 inc r1 djnz r3,disploop pop 03h pop 02h pop 01h pop 00h pop acc rettable: inc a movc a,a+pc ret db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h db 7fh,6fh,77h,7ch,39h,5eh,79h,71h db 80h,40h,73h,00h,3ehdelay1: push 05h mov r5,#10 ;延时一段时间使显示稳定delay2: lcall disp1 ;p1显示子程序 djnz r5,delay2 pop 05h retdelay12: push 06h push 05h mov r6,#4 ;延时一段时间使显示稳定delay21: mov r5,#255delay31: djnz r5,delay31 djnz r6,delay21 pop 05h pop 06h ret end 7. 结束语首先感谢学校能为我们提供这样一个锻炼自己实践设计与操作能力的机会,让我们从中受益匪浅,受益终生。电子技术课程设计是一次实践

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论