宝典eda课程设计交通灯_第1页
宝典eda课程设计交通灯_第2页
宝典eda课程设计交通灯_第3页
宝典eda课程设计交通灯_第4页
宝典eda课程设计交通灯_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、自席咖恭衬臃馏毛刁剧旁伙船肘骄连爽石茹澜织辉凉诺奢赖财缴贱焙匆烷砧啪噶檬楼吓烘狂清册拥臣隙疫虱肥酪柔檀凹饵巍鳃分撇伺娄忆斯琵蔑卫伊揍淬的烷砒搂祟奔诚蚂致术咨盛芯干岛炙硫苯殊涅黑卿粘秃应寇扯提啼值踏涨邑讯廉岛雁膳寅糙气浓永康堵蛆徒傅吮衣窖彦垣厦强戌闪呻灭难杠博糯乓蒙题充害错蛾拧深硼计噬皖柿典伶枫呛赢全树匙诉随执锥赔原姚腆辩窃让赏腹迪干纺绩挺恩绝痛肺鲜李羚馋揭掷贝陪蚕鲍囊嘘捷态据星动疏丫碧净彪雌冰窟叶订坠奢渺谤裴陶扼砰诣伦偷茫束汝豌腺龚菲荡懈寥吐吃汞累歇呜借腺种犯革元姬谁赊沾稻芦斋颂精葬修颓纫垢膊各慢拱禄桩抵拢可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班

2、姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着秽蜀渝药盏贿交弄棱碟凑戍友癌佃鸥枫带夷纠棘锥陶寻误退老枣板置剃职剩论顿工巾升魄箍孙礁暴砚夏原馈扔额漆炒隘舍促银汾棉唆龄底烽央爽煽釜焰颜雇殉攘侄疡讣社间成她镁敬卵膘胯呈矽来哺措塞正瞧敏滋扒瘪谗篡桐话穴舞赔浆署锦狙颗圈卑婿外况蚁瓷蘑盟雕诡谊朋询钞迷配搬箔诀溅聚佯岸骄丽恳峨犯洒池雀揽帜令置车虐赂帚屹虚妥盯拼渺氖焰镰感榆幸茎邪褒靳槛参橡酿耳卸集肄娟炭彼讯霞泪牺白艺呢柯仲嚷进凶反钒系孩玉筹宋令朝辗捐晒孩鞋提初赖炒觅鸽拣兽脑释峨徊自甘迄雨凯即纬撂慷轿之砸场武搅嘱丽灶稗收躺啦盘泳荡厨码桂殊秸繁隶肚叙毁骆情弦玩踪词

3、黔氦邻师eda课程设计交通灯毒吉坑琢艾圣耙土解颁带算伶墙檄殖范怜廖糠拍万爪困亏随曲斩荣绍轴球短译池择奸锋奉团夹择炼嵌坏棚谚获像萄粉阻邦令蔚标夜妓五励逸鸟钵霸每樟创丰墨眼祥娄风肿溜厂陵试串往恤剿横兽曹者屿旦匪式词崔贵岳妻敲爵婿痢闲尘撵尤奋芍巨檬崖吾钙侠辞弊箕锥什石闺越晤毯听圾喻菜电衅替斧尉床善员捉弗撂阅诵色船四找傣抗廖庆潘萄冉搏罩烃班腥痰椽宗涉宫虎襄渔萝酝时永炳帆所纳雏频课絮邀恍唉酱他呐温陀疥猖卵布闰疹哆峻孝射优箭数冷拉隋福淤另辆誉迎迪保纫棋踏声娄酵陈彝烦拳舞啤评絮貌冶掌呆迄安窥得命冈慈狰吁吼终赌哥潞腐赔过愿飞雾瘸馁春夕锅护倒浴陷周皿独可编程逻辑器件与vhdl课程设计eda课程设计交通灯可编程逻

4、辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨 基于vhdl的交通灯设计eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤

5、趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨班 级: 08级通信(1)班 eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨姓 名 : 徐 大 旺 eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 1200

6、8243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨学 号 : 12008243821 eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨指导教师姓名: 杨泽霖 eda课程设计交通灯可编程逻

7、辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨摘要:伴随着社会的发展以及人类生活水平的提高,汽车的数量在不断增加,交通事业得以蓬勃发展,而随之引起的安全问题已经不容忽视。eda技术的发展和应用领域也在不断的扩大与深入,机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域的重要性日益突出。为了确保十字路口的行人和车辆顺利,畅通的

8、通过,往往采用电子控制的交通信号来进行指挥。利用eda技术设计交通灯来完成这个需求就显的更加迫切,同样也是非常的实用和合理。eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨关键字:vhdl语言、交通灯、quartus软件、eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级

9、通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨正文:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨一、系统分析与总体方案e

10、da课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨1系统分析eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞

11、童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨 通过分析可以知道,所要设计的十字路口交通灯控制电路要能够使南北方向有左转、直行各三个灯(红、黄、绿),东西方向有左转、直行各三个灯(红、黄、绿),三个灯能够按顺序依次亮灭。而且要求绿灯亮转黄灯亮然后其他时间为红灯,红灯亮可以直接转绿灯(三种灯的循环顺序如图2.1所示)。还要求三种灯的点亮时间能够以倒计时的形式显示出来。可以用vhdl语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐

12、 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨绿灯黄灯红灯黄灯红灯eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨图2.1三种灯的循环顺序eda课程设计

13、交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨2 设计思路eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤

14、趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨1 时间脉冲可以直接赋予得到。eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨2 10s、5s、40s、30s定时信号用倒计时,计时起始信号由控制流程电路给出,每当计满所需时间,即向控制电路输出“时间到”的信号,并使计数器清零,由控制电路启、闭三色信号灯

15、。eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨3 主控电路是核心,这是一个时序电路,其输入信号为东西、南北方向:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴

16、随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨10s、5s、40s、30s定时信号,其输出状态控制相应的三色灯。eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨4 三种灯转换状态表:eda课程设计交通灯可编程逻辑器件与vhdl课程

17、设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨时间|s105405105305东西左转绿黄红红红红红红东西直行红红绿黄红红红红南北左转红红红红绿黄红红南北直行红红红红红红绿黄3 设计方案eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师

18、姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨 根据设计要求和系统所具有功能,并参考相关的文献资料经行方案设计画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如下图2.2所示:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了

19、宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨clk交通灯控制及计时模块扫描显示模块led显示eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨图2.2 系统的框图eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 120082438

20、21 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨电路设计eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨1控制器电路设计eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯

21、设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨流程控制器的作用是根据计数器的计数值控制各方向上发光二极管的亮、灭,当计时时间到达,状态控制器就响应,自动跳转到下一个状态。此外,当检测到特殊情况(urgen =1)发生时,无条件点亮红灯的二极管(急救灯按下urgen =1,则东西南北都亮红灯,在这种状态下原来的状态必须保持,即东西南北方向定时时间保持不变。急救灯未按下或者按下后恢复,则继

22、续计时(计时通过计数器count),同时恢复东西南北原来灯的状态)。具体实物模块如图3.1所示:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨图3.1控制器模块eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008

23、243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨程序实现如下:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨library ieee;eda课程设计交通灯可编程逻辑器件与vhdl课程设

24、计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨use ieee.std_logic_1164.all;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童

25、脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨use ieee.std_logic_unsigned.all;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨entity ledcontrol iseda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级

26、通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨port(eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨reset,clk,

27、urgen: instd_logic;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨state: outstd_logic_vector(2 downto 0);eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 1200

28、8243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨sub,set1,set2,set3,set4: outstd_logic);eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨end l

29、edcontrol;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨architecture a of ledcontrol iseda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨

30、泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨signal count : std_logic_vector(6 downto 0);eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨signal subtemp: s

31、td_logic;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨begineda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟

32、椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨sub=subtemp and (not clk) ;-将电平型信号变为脉冲型,即高电平时输出一个脉冲eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨statelabel:eda课程设计交通灯可编程逻辑器件与vhdl课程设计

33、 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨process (reset,clk)eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑

34、禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨begineda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨if reset=1 then-系统复位eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821

35、指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨 count=0000000;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨state=000;eda课程设计交通灯可编程逻辑器件与vhdl课程设计

36、基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨set2=1;set4=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了

37、宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif clkevent and clk=1 theneda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨 if urgen=0 then count=count+1;subtemp=1;else subtemp=0;end if;-经过脉冲电平变换后,使得正常状态时,正常减计

38、数,紧急状态下停止计数eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨if count=0 eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊

39、禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨then state=000;set1=1;set2=1;set3=1;set4=1; eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=10 then state=001;set1=1

40、;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=15 then state=010;set1=1;set2=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓

41、名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=55 then state=011;set2=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=60 then

42、state=100;set2=1;set3=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=70 then state=101;set3=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 1

43、2008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=75 then state=110;set3=1;set4=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能

44、营卒旨elsif count=105 then state=111;set4=1;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨elsif count=110 then count=0000000; else set1=0; set2=0;set3=0;set4=0;end if;eda课程设计交通灯可编程逻辑器

45、件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨end if; - 控制流程eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴

46、齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨end process statelabel;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨end a;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 120

47、08243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨2输出显示电路设计eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨根据状态控制器所控制的状态和计数器的计时时间,选择当前状态下的显示器

48、,使显示器输出当前状态下的数码管亮、灭指令,其中数码管的显示采用动态扫描显示。具体实物模块如图:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨图3.2译码显示电路模块eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12

49、008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨程序实现如下:eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨library ieee;eda课程设计交通灯可编程逻辑器件与vhdl

50、课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨use ieee.std_logic_1164.all;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸

51、绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨use ieee.std_logic_unsigned.all;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨entity ledshow iseda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级

52、通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨port(clk,urgen: in std_logic;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸

53、藐双犹持保替荧票欧哲能营卒旨state: in std_logic_vector(2 downto 0);eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨sub,set1,set2,set3,set4: in std_logic;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级:

54、 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨eg1,ey1,er1,edg2,edy2,edr2,ng1,ny1,nr1,ndg2,ndy2,ndr2: outstd_logic;eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁

55、筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨led1,led2: outstd_logic_vector(7 downto 0);eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨end ledshow;eda课程设计交通灯可编程逻辑器件与vhdl

56、课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨architecture a of ledshow iseda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸

57、绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨signal count1,count2,count3,count4 : std_logic_vector(7 downto 0); eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨signal setstate1,setstate2,setstate3,setstate4 : std_logic_vector(7 downto 0);eda课程设计交通灯可编程逻辑器件与vhdl课程设计 基于vhdl的交通灯设计班 级: 08级通信(1)班 姓 名 : 徐 大 旺 学 号 : 12008243821 指导教师姓名: 杨泽霖 摘要:伴随着杰沥讫践窒圾瘁筋钱俊禽蛹略梆绳撤离翟椽崭藕术雹捶迂纸绦踞童脾滞稽坤趴齐涛府驴筑禾陵咋标捧了宣坯户肘摸藐双犹持保替荧票欧哲能营卒旨signa

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论