数字逻辑电路分析与设计实验指导书综述_第1页
数字逻辑电路分析与设计实验指导书综述_第2页
数字逻辑电路分析与设计实验指导书综述_第3页
数字逻辑电路分析与设计实验指导书综述_第4页
数字逻辑电路分析与设计实验指导书综述_第5页
已阅读5页,还剩76页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、目录学生实验前注意事项 2实验报告要求 3实验一集成逻辑门测试及其应用 4实验二Multisim 在数字电路中的虚拟仿真(一) 9实验三Multisim 在数字电路中的虚拟仿真(二) 17实验四译码器和编码器 23实验五数据选择器及其应用 29实验六组合逻辑电路的设计 34实验七集成触发器及其应用 38实验八集成计数器及其应用 43实验九数字式秒表 47附 录部分集成电路外部引脚排列和功能 4971学生实验前注意事项一、实验前要完成指定的各项预习任务。二、检查仪器设备能否满足实验要求。三、熟悉准备调试的元件和器件的功能。四、严格按实验要求连线,经仔细检查无误后方可通电。五、实验过程中应仔细观察

2、实验现象,认真做记录,实验完成后测试结果需 经教师审查签字后再拆除线路。六、发生事故时,应立即断开电源,保持现场,待找出并排除故障后,方可 继续进行实验。七、在变更实验内容或完成全部实验之后,必须先断开电源,再拆除实验线 路。八、培养踏实、严谨、实事求是的科学作风。不抄袭他人作业。九、爱护公共财产,当发生仪器设备损坏时,必须认真检查原因并按规定的 条例处理。十、保持实验室内安静、整洁和良好的秩序,实验后应将仪器整理后放好, 并协助实验室老师搞好清洁卫生。十一、不迟到、不早退、不无故缺席,按时交实验报告。实验报告要求一、实验名称二、实验目的三、实验中实际使用的仪器型号和器材型号、数量等。四、实验

3、内容和步骤1、实验课题和方框图、状态图、真值表、逻辑图,对于设计性课题应有整个设计过程 和关键的设计技巧和说明。2、实验记录以及经过整理和处理的数据,曲线和波形图,其中曲线和波形图必须坐标 纸画出,贴在相应的内容中。3、实验结果的分析与讨论。并得出结论,实验过程中遇到的故障及排除故障的方法。4、完成实验报告中相应的思考题。作为完整的实验文件, 实验报告应附有实验记录, 以备查阅, 实验报告必须用统一的实 验报告纸, 其它纸张一律不能用, 实验报告在下次做实验时交给实验教师, 过期作迟交处理, 若此次实验没来做者不给成绩,若实验来做而报告不交者只能得40 分。实验一 集成逻辑门测试及其应用一、实

4、验目的1、熟悉和掌握门电路的逻辑功能及其测试方法,并通过功能测试判断其好坏。2、熟悉数字逻辑实验组件的使用方法。3、掌握与非门、异或门的逻辑功能及特点、基本应用。TDS-1数字电路实验系统简介及集成电路引脚设定TDS-1数字电路实验系统简介(一)、集成电路的插座和插孔12个IC圆孔插座供中小规模器件、GAL器件和EPROM器件实验使用。其 中14个引脚的有4个,16个引脚的有3个,20个引脚的有2个(引脚多的插座 也可以插引脚少的集成电路,只要注意引脚的编号)。器件引脚通过自锁紧插座 对外接线。一插孔可引多根引线。这些插座没有提供电源和地,实验时使用者应 注意连接它们。做实验时将需做实验的集成

5、电路插入插座中,从对应的引脚插孔 按实验要求进行连线即可构成实验的电路。(二)、数字信号输入方式数字电路实验系统根据实验要求提供了多种信号源1、逻辑电平信号:2、单脉冲输出信号:3、连续脉冲信号(二)、信号输出指示方式1、LED电平显示:发光二极管及驱动电路。共指示电平使用。当输入插孔信号 为高电平时,发光二极管点亮;当输入插孔为低电平时,发光二极管不点亮。2、 7段数码管显示(带译码器):由6个发光数码管组成,用来表示 6位十进制 码输出,当译码器 DCBA端输入8421BC码时,显示09十个数码。集成电路引脚编号设定先找到集成电路器件上的缺口,然后以缺口为基准,左面第一个引脚设定编 号为“

6、1 ”。以逆时针方向旋转依次编号顺序为“ 2、3、4、5、7 ”集成电 路各引脚的具体意义需要对照集成电路手册, 本实验讲义附录中选录部分常用的 集成电路器件引脚。三、实验内容1、测试与非门、异或门逻辑功能的好坏2、TTL门电路多余输入端的处理方法。3、用异或门实现奇校验电路。4、与非门的简单应用四、实验步骤1、测试与非门(74LS00)逻辑功能的好坏。按图1-1 (A)所示接好连线。把与非门电路的输入端连接逻辑电平输入开 关K1、K2,把与非门电路输出端连接发光二极管。改变输入端的高低电平,观 察其输出端发光二极管的亮暗变化,并将输出状态填入表 1-1图1-1( A)测试与非门逻辑功能连线图

7、图1-1( B)测试与非门逻辑功能原理图LAvccLB4B4A4Y3B冇3AGNB3YK1K2 L 4567400表1-1与非门真值表ABY000110112、测试异或门(74LS86)逻辑功能的好坏按图1-2( A)所示接好连线。把异或门的输入端连接逻辑电平输入开关K1、K2,把异或门电路输出端连接发光二极管。改变输入端的高低电平,观察其输 出端发光二极管的亮暗变化,并将输出状态填入表1-2c E A Y E A Yc 4 4 4 3 客 3DAm B 1 A B Y 忖llTlY? OJ G=17iLSB6图1-2(A)测试异或门逻辑功能连线图图1-2( B)测试异或门逻辑功能原理图表1-

8、2异或门真值表ABY000110113、TTL门电路多余输入端的处理方法将74LS00和74LS86按图1-1 (A)和图1-2 (A)连线后,A输入端分别接 地、电源端、悬空、与B并接,观察当B输入端输入信号分别为高、低电平时, 相应输出端的状态,并填表1-3表1-3输入输出AB74LS00 (L)74LS86 (L)接地接电源悬空A、B并接4、用异或门实现奇校验电路图1-3( A)测试奇校验电路的连线图图1-3(B)测试校验电路功能的原理图电源开关拨向OFF。按图1-3连接,把异或门电路的输入端 A、B、C、D 连接逻辑电平输入开关 K1、K2、K3、K4,把异或门电路输出端 L连接发光二

9、 极管。把电源开关拨向ON,改变输入端的高低电平,观察其输出端发光二极管 的亮暗变化,并将输出状态填入表 1-4表1-4奇校验电路真值表输入DCBA输出L输入DCBA输出L输入DCBA输出L输入DCBA输出L00000100100011000001010110011101001001101010111000110111101111115、用异或门和与非门实现半加器电源开关拨向OFF。按图1-4连接,把半加器的输入端 A、B连接逻辑电平 输入开关K1、K2,把半加器输出端S、C连接发光二极管。把电源开关拨向ON, 改变输入端的高低电平,观察其输出端发光二极管的亮暗变化, 并将输出状态填 入表1-

10、5图1-4( A )半加器原理图图1-4( B)半加器连线图表1-5半加器真值表ABSC000110116、用与非门的简单应用测试图1-5( A)、( B)组成的组合逻辑电路,按要求完成表1-6Y1图1-5 (A)组合逻辑电路之一图1-5 (B )组合逻辑电路之Y2表1-6组合逻辑电路(A)、(B)真值表ABY1Y200011011五、预习要求1、预习本实验所用设备“数字电路实验系统”的结构、原理及使用方法。2、预习本实验所用到的集成电路器件的功能和外部引脚的排列及使用方法。六、实验报告要求1、整理实验数据结果,并画有关的时间波形图。2、TTL门电路输出端为什么不允许并联使用。3、用与非门实现

11、或门、或非门、异或门,要求画出原理图。4、在下图1-6与或非门实现Y=AB,CD的功能,多余输入端引脚应如何处理?图1-6与或非门逻辑符号实验二 Multisim在数字电路中的虚拟仿真(一)一、实验目的1、熟悉Multisim软件的基本功能。2、掌握如何用Multisim软件进行门电路的逻辑功能测试。3、通过Multisim软件进行半加器、全加器电路的设计,进一步熟悉软件的使用 方法,特别是仿真方法4、掌握Multisim软件中数字信号发生器、逻辑分析仪的使用方法二、实验原理1、集成逻辑门集成逻辑门有许多种,如:与门、或门、非门、或非门、与或非门、异或门、 OC门、TS门等。但其中与非门用途最

12、广,用与非门可以组成其他许多逻辑门。要实现其他逻辑门的功能,只要将该门的逻辑函数表达式化成与非-与非表达 式,然后用多个与非门连接起来就可达到目的。例如,要实现或门= .-:, 根据摩根定律,或门的逻辑函数表达式可以写成: 丫= 二,可用3个与非门 连接实现。集成逻辑门还可以组成许多应用电路,比如利用与非门组成时钟脉冲源电路 就是其中一例,它电路简单、频率范围宽、频率稳定。74LS00是“TTL系列”中的与非门,CD4011是“CMOS系列”中的与非门。 它们都是4-2输入与非门电路,即在一块集成电路内含有 4个独立的与非门。每 个门有2个输入端。与非门的逻辑功能是:当输入端中有一个或一个以上

13、是低电平时,输出端为高电平;只有当输入端全部为高电平时,输出才是低电平(有“0”得“ T,全“ 1”得“ 0” )。其逻辑函数表达式为:丫=吋。TTL电路对电源电压要求比较严,电源电压Vcc只允许在+5V二10%的范围内 工作,超过5.5V,将损坏器件;低于4.5V,器件的逻辑功能将不正常。CMOS集成电路具有功耗低(TTL功耗则大得多)、高输入阻抗(远高于TTL 器件的输入阻抗)、接近理想的传输特性、电源电压范围广(可在 +5V+18V范 围内正常运行。2、虚拟仪器使用介绍字信号发生器和逻辑分析仪在数字电路中应用很广,这里介绍Multisim软件中字信号发生器和逻辑分析仪的基本使用方法。1)

14、字信号发生器字信号发生器是能够产生32路(位)同步逻辑信号的一个多路逻辑信号源, 可用于对数字逻辑电路的测试,也称为数字逻辑信号源。见图2-1T0 00y- X XRQX二二三三三一二KHC1图2-1字信号发生器字信号发生器图标的左右各有16个端子,左边为015端子,右边为 1631端子这32个端子是该仪器产生的信号输出端。每一个输出端子都可 接入数字电路的输入端。在该仪器图标的下方还有两个端子,R端子为数据备用(Ready)信号端,T为外部触发(Trigger)信号端。从字信号发生器-XWG1界面可以看到,该仪器界面共分为以下6个区。“ Controls 控制”区含Cycle (循环):代表

15、字信号在设置地址初值到最终值之间周而复 始地以设定频率输出。该输出方式的速度可由Freque ncy控制。$ Burst单帧):代表字信号在设置地址逐条输出,直到最终值时自 动停止。该输出方式的速度可由 Freque ncy控制。$ Step (单步):代表每单击鼠标一次就输出一条字信号。$ Set(设置):单击该按钮后,出现如图2-2所示的对话框|u亦口和J4i用之: dddmood1 Jrt AL et. nL诸球襪t+区C jpttaL右懸C左議图2-2 设置对话框“图2-2设置对话框”中包含以下4个区的内容。 Pre-set Patterns(预置模式)区(按对话框中的顺序介绍)No

16、Cha nge :不改变。Load:打开先前保存字信号文件。 Save:保存字信号文件,文件后缀为.DP Clear buffer清除缓冲区:清除字信号编辑区。Up Counter:表示在字信号编辑区地址范围 0000H03FFH内,其内容按0000, 0001, 0010顺序,即以递增方式进行编码。Dow n Cou nt:表示在字信号编辑区地址范围 0000H03FFH内,其内容按03FF, 03FE, 03FD顺序,即以递减方式进行编码Shift Right:右移方式进行编码,即字信号按8000, 4000,2000, 1000, 0800, 0400, 0200, 0100的顺序进行编

17、码。Shift Left:左移方式进行编码,即字信号按0001, 0002, 0004, 0800, 0010, 0020, 0040, 0080-的顺序进行编码。 Display Type (显示类型)Hex:十六进制格式显示。Dec :十进制格式显示。 Buffer Size :显示在编辑器里字的数目 Initial Pattern:设置递增编码、递减编码、右移编码、左移编码的初始值 “Display显示”区:设置字输出信号的显示方式。父Hex:十六进制格式显示。父Dec:十进制格式显示。父Bin ary :二进制格式显示。$ ASCII : ASCII 格式显示。 “Trigger触发”

18、区:设置触发方式。$ Internal (内部触发):选择该方式触发时,字信号的输出直接受 输出方式按钮Step Burst、Cycle的控制。$ External (外部触发):选择该方式触发时,必须接入外触发脉 冲信号,而且要设置“上升沿触发”或“下降沿触发”,然后单击“输 出方式”按钮。只有外部触发脉冲信号到来时才启动信号输出。$ :选择上升沿触发还是下降沿触发。 “ Freque ncy 频率”:设置输出频率。接线端部分:共有32个仪器所产生的信号输出端。在仪器界面的右边为字信号编辑区,可在该区里面以二进制或十 六进制输入数据。图2-3 逻辑分析仪2)逻辑分析仪逻辑分 析仪用于对 数字

19、逻辑信 号的高速采 集和时序分 析,可同步记 录和显示 16 路数字信号。如图2-3所 示。逻辑分析仪图标的左侧由上至下的16个端子为输入信号端子,使用时将这 些端子连接到电路的测量点。图标下方的三个端子分别为:C (外部时钟输入端); Q (时钟控制输入端);T (触发控制输入端)。从展开的逻辑分析仪的面板图,见图 2-3 (右部),仪器界面的左侧有16个 成一竖列的小圆圈为仪器的16个输入端。如其中某个连接端接有被测信号后, 该端的小黑点中会出现一个黑点。被采集的16路输入信号以方波的形式显示在屏幕上。当改变输入信号连接导线的颜色时,显示波形的颜色立刻改变。在仪器界面的下端从左到右,其按钮

20、分别为:Step按钮代表停止仿真;Reset 按钮代表复位并清除显示内容;Reverse按钮的作用在于改变显示屏幕的背景色。单击T1和T2右侧的左、右箭头可以移动读数指针上部的三角形,读取波 形的逻辑数据。T1为读书指针1离开时间基线零点的时间;T2为读书指针2离 开时间基线零点的时间;T2 T1为两读数指针间的时间差。Clock时钟区:设置时钟来源及相关参数。 Clock / Div (时钟/格):用于设置在显示屏上每个水平刻度显示的时 钟脉冲数。 Set(设置):设置时钟脉冲, 钟设置对话框。单击该按钮后出现如图2-4所示的时图2-4时钟设置图2- 5触发方式设置Clock Source时

21、钟源”区的功能在于选择时钟脉冲的来源: ExternaI代表采用外部时钟脉冲; InternaI代表采用内部时钟脉冲。Clock Rate时钟频率”区:用于设置时钟脉冲的频率Sampli ng Sett ing采样设置”用于设置取样方式Trigger触发区:设置触发方式。Set (设置):单击Set按钮后出现如图2-5所示的触发方式设 置对话框。3)双踪示波器示波器是电子实验中使用最为频繁的仪器之一。它可用来显示电信号波形的 形状、幅度、频率等参数。图3-1为该仪器的图标(左)及操作界面(右),图标上共有6个端子,分别 为A通道的正负端、B通道的正负端,外触发的正负端。图3-1双踪示波器三、实

22、验内容和步骤1、与非门的逻辑功能测试在Multisim软件中画与非门的测试图,如图2-6所示图2-6与非门功能测试图 开启仿真开关,按表2-1所示,分别按动键盘上的“A”和“E” 键,使与非门的两个输入端为表中的4种情况,从虚拟万用表的放大面板上读出各种情况的直流电位,将它们填入表内,并将电位转换成逻辑状态填入 表内。表2-1与非门电路输出逻辑状态输入端输出端AB电位(V)逻辑状态000110112、“门”控制功能的测试 “与非”门控制功能的静态测试设A为信号输入端,B为控制端,门的输出接“灯”,高电平输出时灯将被点亮。调出图2-7中的元件,再按图2-7进行连线,连接电路完成, 开启仿真开关,

23、按表2-2要求进行测试,总结“封门”、“开门”的规律。图2-7与非门功能静态测试ABX10010001001110111表2-2 “与非”门控制功能的动态测试设A为信号输入端,输入CP脉冲, 频率f=1kHz ; B为控制端接开关,分别输 入“0”、“1”。a)进入Multisim 仿真软件,按图2-8 所示调出元件及虚拟仪器“双踪示波器”, 再按图2-8完成连线。b)开启仿真开关,双击“示波器”, 对示波器作相应的设置,观察并记录波形。图2-8与非门功能动态测试3、测试全加器的逻辑功能 按图2-9所示组建全加器仿真电路yitWbir UJAFH图2-9一位全加器仿真电路 开启仿真开关,按表2

24、-3要求,分别按动键盘上的A、B和C键(开关J3代表低位来的进位),观察并记录指示灯的发光情况,并将其转换为逻辑状 态,将结果填入表2-3 (注:S表示全加和;Ci表示向高位的进位)。表2-3 一位全加器电路输出逻辑状态输入端输出端指示灯状况逻辑状态ABC( Ci-1)X1( S)X2( Ci)X1( S)X2( (Ci)0000010100111001011101114、用逻辑分析仪观察一位全加器波形 关闭仿真开关,删除图2-9中除集成电路以外的其他元件。 调出“字信号发生器”和“逻辑分析仪”,按图2-10所示连线。= - - 11 ”rf rf t ”- ! - - ”f rr- a -

25、a u j a 6 s -.-.-. a b b - - XJ-JLl -二二u=三三二-IIIA4 _ L -:74LS3CP :merzrn7JLS86D7-ai IPI1:JB 丄: I,.E :IU71BQ_5V:: LJjuI I B B V ! HVDD40*1 BD 5V图2-10 一位全加器波形仿真电路 双击“字信号发生器”图标,将打开它的放大面板,对其进行设置和编辑 开启仿真开关,双击“逻辑分析仪”,将出现“逻辑分析仪”放大面板,对其做相应设置。 观察并记录一位全加器各输入、输出端波形。 按表2-4要求,用读数指针读出4个观察点的状态,并将它们的逻辑状态 填入表2-4中。表2

26、-4测试点输入输出ABCi-1r sCi11002110300140115、编码电路的仿真分析 按图2-11组建编码器的仿真电路图2-11编码器电路仿真分析塾3.- * - E-s#JL/按表2-5的要求设置一种输入状态,按下仿真开关,观察并记录发光二级 管的“亮”、“暗”情况,同时观察两个万用表显示的不同值。表2-5输入输岀EI01234567A2A1A0GSEO111111111011111110001111111000111011110010111111 根据上表,总结74148编码电路的特点四、实验设备电脑、Multisim 10仿真软件五、预习要求1、预习Multisim 10软件的

27、基本操作。2、 预习Multisim 10软件中虚拟仪器“字信号发生器”、“逻辑分析仪”的使用六、实验报告要求1、画出与非门动态测试的波形。2、画出全加器各输入、输出的波形。3、总结74LS148编码电路的功能。4、针对第四题写出“字信号发生器”的各自具体设置过程。实验三 Multisim在数字电路中的虚拟仿真(二)一、实验目的1、进一步熟练掌握“字信号发生器”、“逻辑分析仪”的使用”-2、 掌握Multisim软件中虚拟仪器双踪示波器、4踪示波器的使用3、掌握Multisim软件中逻辑转换仪的使用。4、掌握Multisim软件对组合电路的分析与设计方法。二、虚拟仪器介绍1、逻辑转换仪3-1所

28、示,该仪器共有9个端子,均为输入端。这9个端AH共9个输入端图3-2逻辑转换仪界面XLC1图3-1逻辑转换逻辑转换仪如图子对应于该仪器界面上部的 仪器界面(如图3-2)左上方提供A、B、C、D、E、F、G、H这8个输 入变量;左边为真值表区;正下方为逻辑表达式栏;右边为逻辑转换操作区。它 提供了 6种转换功能单击按钮 将逻辑电路转换成真值表,逻辑转换仪显示真值表结果。单击按钮口将真值表转换成逻辑表达式,在转换前必须将在真值表栏中输入真值表,根据输入端的个数,使用鼠标单击逻辑转换仪界 面顶部输入端的圆圈(AH),选择输入变量,这时真值表会自动组合输入的变 量,此时显示右侧一栏 中的初始值均为“?

29、”,使用鼠标单击“?”变成“ 0”, 再使用鼠标单击“ 0”变成“ X ”。单击按钮_匸 由真值表导出简化表达式。可实现对已有的逻辑表达式进一步简化的目的。单击按钮工八由逻辑表达式得到真值表。单击按钮” 由逻辑表达式得到逻辑电路。单击按钮-由逻辑表达式得到与非门电路。三、实验内容和步骤1、变量译码电路的仿真分析 在Multisim软件中构建仿真电路如图3-3所示二三三二言 双击“字信号发生器”图标,弹出其设置面板,如图3-4所示。对其进行相应的设置。T WQQIDDDLOrOOtlDOt2 肚诞3 30000004 3000 DDO5 onao 口口“ MiaflDDfT 置ltSEf 点3讥

30、 DQW0口口 口口no2审16*4K |4C申勺削r 一 -诙庄證-1r .s*sr:nIV JP.円韧A-H 11占L 1Aj=r* r * -* 、 - - - - Y- - r - .-忙-加* X: % 厂 I图3-4 设置面板图3-5字信号设置 在字信号编辑区,对字信号进行设置,并对数据的执行过程进行相应的设置,对数据流进行设置指针、设置起始位、设置结束位、设置断点、去掉断点等的操作。如图3-5所示。 设置完毕后,按下仿真开关,观察 8个灯泡的现象。 根据仿真结果,列出图3-3的译码器(74LS138)的真值表。 自拟一个能观察译码器(74LS138)的波形图的仿真电路图。2、BC

31、D七段显示译码器仿真测试电路 在Multisim软件中构建仿真电路如图 3-6所示,图中的显示器是 LED 共阳数码管。 a MJ -MS Xi1 X1 i a I IZ图3-6BCD七段显示译码器仿真测试电路 开启仿真开关,分别按动各开关,使输入 4位二进制码“ DCBA”分别为 00001001,这时对应输入的每个二进制码,经译码器译码后直接推动共阳 LED 数码管显示出十进制数09,同时也可从接在输入端的 4盏指示灯知道输入的 二进制码。 将实验结果填入表3-1中表3-1输入输出输入输出DCBAOa Ob Oc Od Oe Of Og数码管DCBAOa Ob Oc Od Oe Of Og

32、数码管0000100000011001001 10101000丄11I01L10100110001;011L101011 101110011 1111113、用于非门设计一个一位的全减器设定A为本位被减数,B为本位减数,C为由低位 来的借位输入,D为本位之差,S为向高位的借位。图3-7全减器真值表D列输入输出ABCDS0 I0000 I001110 1011 :0 I1101 I10010101001 I1000 I11111表3-2全减器真值表 D的设计单击按钮单击按钮单击按钮得图3-8为了使电路简洁,将设置为子电路。步骤如图3-9、图3-10图3-10 D子电路图3-11 S子电路将图3-

33、10子电路D保存。S的设计方法同D的设计,S子电路如图3-11(三)将子电路D和子电路S连线画成如图3-12所示tl ri a D图3-12图3-13全减器电路 同样将图3-12设计成一个名称为QJQ的子电路,如图3-13 。 用逻辑转换仪来验证一位全减器的正确性。 双击逻辑转换仪。 点击按钮一,得如图3-14、图3-15。W.C1* AR- 3Q -:b3图 3-14图 3-15四、实验设备电脑、 Multisim 10 仿真软件五、预习要求1、预习 Multisim 10 软件中虚拟仪器 “逻辑转换仪”的使用六、实验报告要求1、总结 74LS138 译码电路的功能。2、用逻辑转换仪设计一个

34、一位全加器。实验四 译码器和编码器一、实验目的1、了解数码显示管的工作原理。2、熟悉中规模译码器的简单应用二、实验原理(一)译码器1、译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进 行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在 数字系统中有广泛的用途,不仅用于代码的转换,终端的数字显示, 还用于数据 分配,存储器寻址和组合控制信号等。 实现不同的功能可选用不同种类的译码器。2、译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代 码变换译码器。(1)变量译码器(又称二进制译码器) :用以表示输入变量的状态。若有 n 个输 入变量,则有

35、2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出 所代表的函数对应于n个输入变量的最小项(例如 74LS138, 74LS139等)。( 2)代码变换译码器:能将一种码制变换成另一种码制。例如将二进制码制码 转换为循环码;将四位二进制数表示的二十进制转换为十进制数等。( 3)数码显示译码器:用来驱动各种显示器件,它可以将数符或字符的二进制 码信息“还原”成相应的数符或字符。常用的有74LS47、74LS48、74LS247、74LS248 等。(二)编码器编码与译码的过程刚好相反, 通过编码器可对一个有效输入信号译成一组二 进制代码。 优先编码器的功能是允许同时在几个输入端有输入信

36、号, 编码器按输 入信号排定的优先的顺序只对同时输入的几个信号中优先权最高的一个进行编 码。例如74LS148,它是一个8线三线优先编码器,输入和输出都低电平有效。三、实验内容1 、熟悉数码显示器。2、码制变换电路3、熟悉74LS138变量译码器4、编码器的简单应用。四、实验器件1、74LS86 2 、74LS138 3 、74LS20 4 、74LS148 5 、74LS175 6 、74LS32五、实验步骤1、熟悉数码显示器将任意一只显示译码/驱动器的输入D、C、B、A分别和逻辑电平开关连接。按表4-1要求,拨动逻辑电平开关,记录数码显示器显示的字型。表4-1数码显示器字型表输入输出字型输

37、入输出字型DCBA日DCBA000001000000110010010101000111011010011000101110101101110011111112、码制变换译码器图4-1是一个码制变换译码器,它可以把一个四位二进制码B3B2B1B0输入变成一个四位循环码 G3G2G1G0。按表3-2要求,拨动逻辑电平开关,记录发 光二极管变化状态。G3 G2 G1 GO表3-2四位二进制码制转换为四位循环码的真值表输入DCBA输出G3G2G1G0输入DCBA输出G3G2G1G0输入DCBA输出G3G2G1G0输入DCBA输出G3G2G1G00 0 0 00 1 0 01 0 0 01 1 0 0

38、0 0 0 10 1 0 11 0 0 11 1 0 10 0 1 00 1 1 01 0 1 01 1 1 00 0 1 10 1 1 11 0 1 11 1 1 13、熟悉74LS138变量译码器(1)在图4-2中74LS138是一种3线8线译码器,三个输入端 CBA共有8 种组合(000111),可译出8个输出信号丫0丫7。这种译码器设有三个使能端, 当g仁1, G2A = G2B=0时,译码器处与工作状态,输出低电平。否则处于禁止 状态时,输出高电平。c Y YY yyyyA B D2 2 17 N A B c G G G YG使能输入地i止输入输出G1cBAYO1 Y2Y3 Y4Y5

39、 Y671111111110X111111111 10000011111111000110111111r i0r o1011011111i00111110111111010011110111i0T0111111D11i011011111101i011111111110图4-274LS138的引脚图和真值表151斗3ii. 工9用实验手段验证74LS138逻辑功能。G1接Vcc,G2A、G2B接地,C、B、A接逻辑电平开关,丫0丫7分别接发光二极管。根据地址 C、B、A的变化情 况,观察发光二极管亮暗状态。(2)用74LS138实现组合逻辑电路图4-3是用74LS138实现组合逻辑电路。G1接V

40、cc, G2A、G2B接地,C、 B、A接逻辑电平开关,C I、S分别接发光二极管。根据地址 C、B、A变化情 况,观察输出端CI、S的发光二极管亮暗变化状态,填写表 3-3。根据表3-3试 分析这是什么组合逻辑电路。ABCvccYOY1G2SG2B3G1Y4Y75GND674LS13811&SCBACIs00000 10 1 00 I 11001 0 11 1 0111表4 -3图4-3 用74LS138组成的组合逻辑电路4、用74LS148优先编码器构成一个简易抢答器(1)芯片简介 74LS148优先编码器的真值表和引脚图。VccEOGS320AO74LS148选通输入编码输入编码输出扩展

41、选通输出EI01234567A2ADGSEO1XXXXXXXX1111101111111111I100XXXXXXX0000010XXXXXX0100101aXXXXX011010010XXXX01110r 111010XXX01111100010XX0111111Li1010X01111111r 110010011111111i10115141311C9图4-474LS148的引脚图和真值表符号功能说明如:EI表示选通输入端(低电平有效),只有EI=0时,编码 器正常工作,而在EI=1时所有输出端均被圭寸锁;07表示编码输入端(低电平 有效),8根输入线中,有1根为0时,A2A1A0编码输出

42、端对应输出一组3位 二进制代码;GS表示扩展端,可以用来扩展编码器功能;E0表示选通输出端。在优先编码器中允许同时在几个输入线上加输入信号。 在几个输入线上同时 出现几个输入信号时,只对其中优先权最高的一个输入信号进行编码。 图4-4中 输入线7优先权最高,输入线0优先权最低。 74LS175四上升沿D触发器的引脚图和真值表CLR VCC1Q4GW4QW4P3D2Q3Q2Q3QGNDCLKS.143CERCLKDQQ0XX011t110I 1t J00110XQQ74LS175图4-574LS175四上升沿D触发器的引脚图和真值表这是一个四D触发器,依靠一个时钟脉冲CLK同步触发。当清除端CL

43、R为低电 平时输出端Q为低电平;CLR为高电平时在时钟CLK上升沿作用下,输出 Q 与数据端D 一致;当时钟CLK为高电平、低电平或下降沿时,D对Q没有影响。(2)74LS148优先编码器的简单应用St artX厂8VccEOGS3EI21Al.0GNB AO74L109R- DCLllJlplTlengseQGNvcc4Q4Q4B3r萸3QCLK1513IE10974LS175A.BC图4-6用优先编码器组成的简易抢答器图3-6是用74LS148和74LS175为主体组成的简易抢答器。Start开关为“开 始抢答”开关,接逻辑电平开关。07可作为八个抢答者的输入信号

44、线,全部 接到逻辑电平开关。通过实验,试分析它的功能。 开始时设置Start开关为“ 0”电平即不允许抢答,74LS148的07输入信号线设置为“ 1”电平,观察数码管显示 字符。 保持Start开关为“0”电平,从07输入信号开关中,任意拨动一个或几个开关的一个来回(即从高电平一低电平一高电平)即开始抢答。观察数码管显示字符。 把Start开关拨向“ H,观察数码管显示 字符。 保持Start开关为“ 1”电平,从07输入信号开关中,任意拨动一个或几个开关的一个来回(即从高电平一低电平一高电平),例如先拨“ 2”再拨“ 4”。 观察数码管显示字符。 重新设置Start开关为“ 0”电平,观察

45、数码管显示 字符。 把Start开关拨向“ H,观察数码管显示 字符。 保持Start开关为“ 1”电平,从07输入信号开关中,任意拨动一个或几个开关的一个来回(即从高电平一低电平一高电平),例如先拨“ 4”再拨“ 2”。 观察数码管显示字符。 仿照过程,拨动其他输入信号线,观察数码管显示的字符,小结抢答器的功能五、预习要求1复习译码、显示原理,及译码器的功能和使用方法。2、了解、掌握优先编码器的工作原理。3、查阅实验中所用的集成电路器件的真值表及引脚图。4、在原理图中标出引脚号六、实验报告要求1按实验操作步骤记录有关实验数据。2、当G2A = G2B=0,并且 G仁0时,译码器 74LS138处于什么状态?当G2A=G2B=0,并且G1=1时,译码器74LS138又处于什么状态? 74LS138输出 高电平有效还是低电平有效?3、用两片74LS138设计一个4线一16线译码器,请画出原理图。4、74LS148编码器输入高电平有效还是低电平有效?输出高电平有效还是低电平有效?当几个有效信号同时输入时,74LS148的输出会怎样?5、根据抢答器实验操作步骤,试分析它的操作功能。实验五数据选择器及其应用一、实验目的1、掌握中规模集成电路数据选择器的工作原理与逻辑功能

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论