基于单片机的数字电子时钟设计_第1页
基于单片机的数字电子时钟设计_第2页
基于单片机的数字电子时钟设计_第3页
基于单片机的数字电子时钟设计_第4页
基于单片机的数字电子时钟设计_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于单片机的数字电子时钟设计 以上是proteus里的原理图。以下是程序:#include sbit ksec=P11;sbit kmin=P12;sbit khour=P13;sbit pkey=P32;unsigned char secshi=0,secge=0,minshi=0,minge=0,hourshi=0,hourge=0;unsigned int num=0,sec=0,min=0,hour=0;unsigned char code table10= 0XC0,0XF9,0XA4,0XB0,0X99,0X92,0X82,0XD8,0X80,0X90 ;void delay(un

2、signed int);void keyscan();void display();void init(void)TMOD=0x01; /定时器0工作在方式1 TH0=(65536-50000)/256; /定时50ms,定时器0高8位初值 TL0=(65536-50000)%256; /定时50ms,定时器0低8位初值 EA=1; ET0=1;/开启中断允许寄存器 TR0=1;/使TR0置位,启动定时器,定时器便开始计数 ,计数溢出时,自动进入中断服务程序/开启外部中断,并使得低电平触发EX0=1; / ?INT0?IT0=0; / ?INT0(?)void main() init(); I

3、E=; /0X8A=,打开外部中断和定时器中断 while(1) display();/调用显示函数 keyscan();/键盘扫描程序 void delay(unsigned int z)unsigned int x,y;for(x=z;x0;x-) for(y=110;y0;y-);/延时函数/时钟设置子程序,定时器中断程序void time0() interrupt 1num+;/计数加1TH0=(65536-50000)/256; /重装初值TL0=(65536-50000)%256;/ LED显示程序void display()if(num=20) num=0; sec+;/如果nu

4、m=20,则一秒时间到,秒加1 if(sec=60) sec=0; min+;/如果sec=60,则一分钟时间到,分加1 if(min=60) min=0; hour+;/如果min=60,则一小时时间到,小时加1 if(hour=24) hour=0; min=0; sec=0;/到了23点59分59秒时候 最后归零 secge=sec%10;/秒求余secshi=sec/10;/秒求模minge=min%10;/分求余minshi=min/10;/分求模hourge=hour%10;/小时求余hourshi=hour/10;/小时求模P0=0x80; /OXFE是什么?位选?选中,最后一位

5、,秒?P2=tablesecge; /段选delay(4);P0=0x40; /,选中秒的第十位P2=tablesecshi;delay(4);P0=0x20; /,选中分的个位P2=tableminge;delay(4);P0=0x10; / ,选中分的十位P2=tableminshi;delay(4);P0=0x08; /,选中小时的个位P2=tablehourge;delay(4);P0=0x04;/ ,选中小时的十位P2=tablehourshi;delay(4);/ 按键控制子程序,将出去暂停键之外的其他键放在键盘扫描程序里,暂停键放在外部中断0里面,为了保证记住上一个状态void

6、keyscan()if(ksec=0) delay(5); if(ksec=0) sec+; if(sec=60) sec=0; while(!ksec);if(kmin=0) delay(5); if(kmin=0) min+; if(min=60) min=0; while(!kmin); if(khour=0) delay(5); if(khour=0) hour+; if(hour=60) hour=0; while(!khour) ;/*if(pkey=0)delay(5); if(pkey=0) while(!pkey); TR0=TR0; EA=EA; ET0=ET0; num=0; while(!pkey); */void int_1()interrupt 0if(pkey=0)delay(5)

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论