计算机组成原理课程设计——_第1页
计算机组成原理课程设计——_第2页
计算机组成原理课程设计——_第3页
计算机组成原理课程设计——_第4页
计算机组成原理课程设计——_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、南通大学计算机科学与技术学院计算机组成原理课程设计报告书课题名模型计算机的设计与实现班级姓名学号指导教师日期20126182012.6.21一、设计目的1、融会贯通教材各章的内容,通过知识的综合运用,加深对计算机系统各模块 的工作原理及相互联系的认识,经阿什计算机工作中“时间 -空间”概念的理 解,从而清晰地建立计算机的整机概念。2、学习设计和调试计算机的基本步骤和方法,培养科学研究的独立工作能力, 取得工程设计和调试的实践和经验。二、设计内容1、根据给定的数据格式和指令系统,设计一台微程序控制的模型计算机2、根据设计图,在QUARTUS II环境下仿真调试成功。3、在调试成功的基础上,整理出

2、设计图纸和相关文件,包括:(1)总框图(数据通路图);(2)微程序控制器逻辑图;(3)微程序流程图;(4)微程序代码表;(5)设计说明书;(6)工作小结。三、数据格式与指令系统1、数据格式 数据字规定采用定点整数补码表示法,字长 8位,其中最高位为符号位,其格 式如下:符号位2、指令格式本实验设计使用5条机器指令,其格式与功能说明如下:7 6 5 4 3 2 1 0INADDSTAOUTJMP0010000001000000A01100000A10000000A10100000AIN指令为单字长(字长为8bits )指令,其功能是将数据开关的8位数据输 入到R)寄存器。ADD指令为双字长指令,

3、第一个字为操作码,第二个字为操作数地址,其 功能是将R)寄存器的内容与内存中地址为 A的数相加,结果存放在 R寄存器 中。STA指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其 功能是将R)寄存器中的内容存储到以第二个字为地址的内存单元中。OUT指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其 功能是将内存中以第二个字为地址的内存单元中的数据读出到数据总线,显示 之。JMP指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其 功能是程序无条件转移到第二个字指定的内存单元地址。四设计原理与电路图 原理图RAMnCSWEnalu_busALU输出缓冲(74244b)

4、nRAM BUSROMAR(74273b)LDARnPC BUSPC(用2个 74163构成的8位计数器)LDPCLDNR0(74374b)nsw_bus |数据输出微程序控制器时序产生器数据输入I IR(74273b)LDIR按照原理图,完成模型机的数据通路的编辑、编译以及波形 仿真工作。,图中采用两个寄存器 74273b来存放指令, 个用于存放操作码,另一个用于存放地址码。(2)微程序控制器的逻辑图:A数据通路逻辑电路图实BiB耋 - ma V - - V - 9 S - -严.r sr . .FT- TK-*叮9*Ji-!壬3 .!*:iI 封装图C地址转移逻辑电路设计地址转移逻辑电路,

5、完成电路图的编辑、编译以及波形仿真工作。仿真正确后,封装成芯片control。地址转移逻辑通过指令的操作码的高3位和微指令的测试字段P1来确定下一条微指令的地址。D微程序控制器:调用地址转移芯片control ,存放微指令的ROM两片74273b芯片以及一片74273芯片来完成电路图的编辑、编译以及波形仿真工作。芒卜亡.*.* M I #1 iH:心r 81已L*3-&事.兰.-MJ 4 i J. J fc -.r. *a i . k.当芒.;r r w l r s三三CMQfE TCWODP q-H*3:;:;:;J Jiixr-74j73Errf r-rr#:“氓::TF F7U:1rWF

6、11 l_MfiJ-T哥w a ; jMtetST-,P r丁;:rtwc=;胡:r-F:.r Tt*rr: 产:三二性 CM申!CUT a i|:k 起.x7 :wf4.皿2.a. s M s J ,亠 J耳一封装图E.PC(程序计数器)五、微程序流程图、代码表(1)微程序流程图运行微程序(2)代码表:当前 微地址翳吕邑gPC pHui33B331cd1M-i* 1123222120191817165141312ii1098765432000000000100000010011000001010000000000011010000100200000001000010000010T000030

7、0000001000000100000010004000000010001000000000101RAM.MIFAddr20+4+5 I+60iHKiMHim01000000011000001000000010100400oomooooododooboooooooo&00000001QOOOOOOODOODOOOOODOODOGDooooooooooooooootKHXMODOQO000000160000000100000000ooooooooooooooooooooooooooooooooooooooooQOOQOOOO24OOOOOQOO0OOOOTOOooooooooXC3C0CCOOO

8、OTOOT(HMMXHJOQQQQOOOOO00000000320000001000000000ooooooooooooooooooaoooQOoomoooDQODQOOOoooooooo40GOOOOOCODOODOOD0OODOODOQODOO0OGD00000000DOODOODOQO0000004S00000100ooooooooooooooooooooooooOOOOOOOOooooooooOOOOOOOOoooooooo56ooooowoQOQQOOOOQOOQOQQO?cc?coccDOOQOQOOOO00QW0QOOOQOOOQOQOOOO05400001000oooooooo

9、00000000ooooooooooaoooQdOOQOOCCDDQODQOOOoooooooo72G000&0O00000DC00GOODOGQOOOOODOGDDOOOGOOOOMWMMMDOQDOODOQOOQOOOO8000000000oooooooooooooooooooooooo000000-00OOOOOQOOOOOOOOOOooooooooasoowoooooooooooooooooooo00000000ooooobocOOOOOOOOoooooooooooooooo9600000000ooooooooooooooooDOODOOMoooooooo00000000ooooooo

10、ooooooooo104oooo&oooDOODOODODOODOO00ooooooooOQOOOOOOoooowoDOODOODOOOOQODOO112OOOOOOOI00000001000Q001100000001000000-01owwwooQOOO0OOOoooooooo12000000000ooooooooooaooaooooooooooQQOQObOCooooooooOOOOOOOOJOOOOQ011280000000100000001ODOOOOO100000000ooooooooOOOOOOOOOQOOQOOOoooooooo136oooo&oooDOODOODODOODOO0

11、0ooooooooOOOTOMOC0000000ooooooooQOOQODOO144OOOOOOOGooooooooooooooooooooooooQOOOOO-OOooooooooooooooooaoooooaOOODOOflQOQOOOOOooooooooooooooooooooooooooooooooJOOOOQOO160ooooooooooooooooooooooooooooooooDOOOOOQOOOQOOOOOomooooooooooooEPROM.MIFAddr*2*1*40C: 3QMIMWMMMWDG0DC0DG1QMQMOCOOCOD51D1QD

12、QM19OWDQOD1QOQ01QOOOQ1OIOOQDQOOQMTWQ WDQODQODQ10Q0QO100M1 QODQODQQOQ IflTBOODO&DOCO&DOOCOOODOODaO030闖 0闆 i 000000010QOD01DOOOOOO lODOOfiO 10a(OOCKl1 aDOODOODilMOMO lOQCOO011Q腱 0 就0 iODOii 11016HflOW曲硕Q曲QOl圖WO0qwwmjommowiXKJw寸如瞰期咖丽却凶OW024QOOQOCQODQQODQOOOODQODQOQODOQODOODQOOOODQOOQODOCiUQODOODQOOQOOQ

13、ODOQODOODODOODQOOQOOOCODQODOODOODQDQODO(X)0(K)DCODQODQODQOOQROM.MIFAddr+0 | +1+2心+4+7o | |qo00000C0000囂呂000000000Q0000001600000000000000002400000000000G0000320000000000000040 100皿0000QQ004S00QQ0000000000DO56000000000Q00000C640000000000000000720000000000000000甜00000000000000003800oaQOQ00000QQ0096000Q

14、000000000000104000000000000003:11200000C00000000001200000000000000000六、系统调试情况(1)测试结果(波形图):141a30号他 f utoM.tq a:;a 回拠b maMCCCCOx060Q4(NlJC-DOOffiNLa-竺 WX5jmrLrLr-rLrLm-LrLrLrLrLrL-LrLrLrLr-rLrL-LrLTrLrLnj-. n .n_i_n_rLn_n_n_n_rLn_n_r_n_r_Ln_u_Lnj_r 到MB WOjoOODCu)弟曲 13 旳诃E :3K?1QMK!JCDCCCIXmidX !( XSa

15、DW扯CO*HNLaXOliJM HW91曲klJ-bdCK D Ifmm 理1 BvBi=staiiB fl 1 wft rrrrr*v i|衬0事田e an-omim-a E3 QE71 ng.ij3oca(da 回加B WlWMgm工Biemm灿 LMflECIde-E i曲14 J T 1.II01切B- D11r&1“小5小&EF-yiCt L(2)主要问题和解决办法:1、此模型计算机为单总线结构,输入信号和输出信号均通过该总线进行传输,如果不加缓冲器就会产生输入信号和输出信号的传输冲突,使信号不能到达“IR”,所以要在存储器RAM后添加一个“ 74244”模块,使信号在进入总线进 行传输前先通过“ 74244”进行一个缓冲,同时“ 74244”也有三态门的作用, 从而使得总线上无信号冲突,这样就便于总线的连接和扩展。2、总线的命名如果不准确的话也会导致编译不能成功,这也是在验收时编译失 败的原因3在控制信号上也出现了一些问题,有些是低电平有效如运算器ALU结

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论