Quartus II 131与自带modelsim Altera 100d仿真软件的联调_第1页
Quartus II 131与自带modelsim Altera 100d仿真软件的联调_第2页
Quartus II 131与自带modelsim Altera 100d仿真软件的联调_第3页
Quartus II 131与自带modelsim Altera 100d仿真软件的联调_第4页
Quartus II 131与自带modelsim Altera 100d仿真软件的联调_第5页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 . 仿真软件的联调Quartus II 13.1与自带modelsim_Altera 10.0dStep1 ,直到没有错误为止;)、1 编写好Verilog代码后,编译(点? Start Test Bench Template Writer, Processing ? Start 2、 接下来生成testbench文件,注意下方Message栏中,EDA Netlist Writer是否生成成功,成功的提示如下: Step2 1、 接下来完成调用modelsim_Altera 10.0d的设置 1. 首先要在quartus 2中正确填入modelsim程序的地址: Tools ? Optio

2、ns ? General ? EDA Tool Options ,如下图: 2. 因为我调用的是Modelsim-Altera,所以填入Modelsim-Altera程序所在地址: 6 / 1 . DD:altera13.1modelsim_asewin32aloem(注:一般只是盘符不一样,我装在 )C盘,如果你装在盘,那地址就是C:altera13.1modelsim_asewin32aloem(测试文件只接下来,就是3. 正确载入测试文件了,载入前,先要修改testbench: 是模板,要自己加入时钟信号,输入信号,即添加激励,否则无法出现仿真波形) Settings 出现如下界面:As

3、signments ? i. 勾选下图的小方格,当在Quartus中编译快完成时,自带调出Modelsim_Altera; ii. 如果你的代码是用Verilog写的,则此处要选Verilog HDL; iii. 下面这个选项是仿真时间单位: 6 / 2 . 仿真时)eg: timescale 仿真时间单位时间精度/ ( timescale 100ns/10ns时间间单位时间精度和,就比如常见的刻度尺,仿真时间单位以为单位,cm 的。是大于或等于以精度mm为单位,所以仿真时间单位时间精度Test Compile test bench ,点选择iv. Benches 出现下图,点v. New 6

4、 / 3 . 出现下图:vi.先填写下图,vii. AddTestbench空格旁的点File name ,添加生成的文件,格式是点 .vt ,目录下,可在下图的完成添加。此文件在你所建工程的simulation/modelsim/下修改:Output directory 6 / 4 . 接下来填下面两个空白栏: viii. XXXXXXXXX.vt Test bench name处填入你的测试文件名:处填入测试文件的顶层模块名,即下图的Top level module in test bench(这是我的顶层名,当然你的也可以是别的名字)bitwise_xor_vlg_tst 的测试文件,即可看到)。打开该格式为(用quartus.vt 个)即可进行仿真了。(有设置完后,点OK3ix.Step3 :入进到得,可译编点面界quartus,即到下图 6 / 5 . Modelsim_Altera,时,调用, 在编译到99%注意左下方图 如真出现仿图下,: 注意:此时程序进入modelsim中运行,当你截下你所需要

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论