数字电子技术04译码器_第1页
数字电子技术04译码器_第2页
数字电子技术04译码器_第3页
数字电子技术04译码器_第4页
数字电子技术04译码器_第5页
已阅读5页,还剩123页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

(1)译码:1、译码器的定义和功能二、译码器/数据分配器——将具有特定含义的二进制码进行辨别,并转换成相应信号的过程。(2)译码器:——具有译码功能的电路。(3)译码器类型:——唯一地址译码器和代码变换器。唯一地址译码器:是将一系列代码转换成与之一一对应的有效信号。代码变换器:是将一种代码转换成另一种代码。(4)二进制译码器(地址译码器)的一般原理图:若输入端的个数为n,则输出端的个数为2n。二进制译码器

I0Y0EI使能输入I1In-1Y1Y2n-1当EI为有效电平时,对于每一组输入代码,只有一个输出端为有效电平。由真值表可得表达式:01111101011010110110011100001111××1Y3Y2Y1Y0A0A1E真值表由表达式可画出逻辑图如下:(5)2线-4线译码器:逻辑图:注意:使能和输出上用非号,说明低电平有效,而不是非变量。&&&&111EA0A1Y0Y1Y2Y32线—4线译码器组成4线--16线译码器(1)二进制译码器2、集成电路译码器常用的有CMOS(74HC138)和TTL(74LS138),可用74X138表示。①74X139介绍:

74X139是双2线-4线译码器A0Y0EA1Y1Y2Y3Y0Y1Y2Y3A0EA11/274X139逻辑符号74HC138是3线-8线译码器a.引脚图②74HC138的逻辑功能及应用74HC13816151413121110912345678GNDVccA0Y0A1A2Y1Y2Y3Y4Y5Y6Y7E1E2E3b.74HC138的功能分析功能表101111110110011011111111Y51111111111Y6011111111001111111101001101111001001110111110001111011010001111101100001111110000001111111×××××0111111×××1××111111××××1×Y7Y4Y3Y2Y1Y0A0A1A2E1E2E3由表得11&&&&&&&&11111&A0Y0&&&&&&&&Y6Y5Y3Y4A1A2Y1Y2Y711E3E2E1c.由表达式可画逻辑图功能分析:

(A)有3个使能端,E1、E2、E3当它们分别为E3=1、E2=E1=0时,译码器处于工作状态。

(B)三个变量A2、A1、A0共有8种状态的组合,对应于A2A1A0的每一种取值,Y0-Y7相应地有一个输出为有效电平——低电平。

例4.4.3四片74HC138和一片74HC139构成5线32线译码器。解:首先列出5线-32线译码器的真值表,如表4.4.7所示。例4.4.3的逻辑图对输入的任一组5位码,只有一个输出端为有效低电平。例4.4.4用一片74HC138实现:L=AC+AB

一个3线-8线译码器可以产生3变量函数的全部最小项,利用这一性质可用译码器作为逻辑函数发生器。CBABCACBACBAL+++=解:由于译码器是低电平有效输出,所以将最小项变换(双非)为反函数的形式,得到:L=AC+AB=m0+m2+m6+m7L=m0·m2·

m6·

m7=Y0·Y2·

Y6·

Y7CBA&L74HC138E3Y0Y1Y2Y3Y4Y5Y6Y7A0A1A2E1E2+5V将输入变量A、B、C分别接译码器的输入端A2、A1、A0

(注意高位对高位),在译码器的输出端加一个与非门,即可实现所给函数。由表得(2)二-十进制集成译码器74HC42①引脚图74HC4216151413121110912345678GNDVccY7A0A1A2A3Y0Y1Y2Y3Y4Y5Y6Y8Y974HC42Y0Y1Y2Y3Y4Y5Y6Y7Y8Y9A0A1A2A3ABCDY0Y1Y2Y3Y4Y5Y6Y7Y8Y9(2)功能分析功能表BCD输入输出输入为无效码时,输出均为高电平,无有效码输出10111111110001111111111Y8101111111Y7011111111001111111111110101111110110110111111010111011110010111101111100111110110100111111011000111111100000Y9Y6Y5Y4Y0A0A1A2A3Y1Y2Y3(a)输入信号从0000~1001的顺序反复循环,将得到连续的顺序脉冲,可用作顺序控制信号。

数字显示电路的组成部分译码器驱动器显示器计数器脉冲信号(3)七段显示译码器①常用的数码显示方式字形重叠式、分段式、点阵式②常用的数码显示器

发光二极管显示器、荧光数字显示器、液晶显示器件、气体放电显示器。bcdfe共阳极显示器共阴极显示器显示器分段布局图agabcdfgabcdefg111111001100001101101e

共阴极显示器③CMOS七段显示译码器74HC4511

74HC4511七段显示器输出高电平有效,用以驱动共阴极显示器(逻辑符号)。其功能表参见:P.151表4·4·9输入为8421码,输出字形为输入代码所对应的十进制数。agb...LTBLLE74HC4511D2D0D3D1c.锁存使能输入LE(高电平锁)b.灭灯输入BL(低电平)a.灯测试输入LT(低电平)逻辑功能表(共阴显示器)例:P.152例4.4.6HHHHHHH××××L××LLLLLLL××××L×××××HHH灯测试灭灯锁存LLLLLLLLHHHHHL14HLLHHHHHHLLHHL3HLHHLHHLHLLHHL2LLLLHHLHLLLHHL1LHHHHHHLLLLHHL0gfedcbaBLLE字形输出D0输入十进制或功能D1D2D3LT灭H灭**bcdfeag3、数据分配器数据分配:将一个公共数据线上的数据根据需要送到多个不同的通道上去。通道1通道2通道3通道4数据线2位通道选择信号数据分配器:实现数据分配功能的逻辑电路。数据输入一般地n位通道选择信号对应2n个通道数据分配器可以用唯一地址译码器实现。74HC138Y0Y1Y2

Y3Y4Y5Y6Y7G2BE1D:数据输入D地址输入(使能)

EN当E3=1;A2A1A0=010时,除Y2外,其余输出均为高电平。功能表见表4.4.10E2E3A0A1A2Y2=(E3E2E1)A2A1A0=

E1=D

(1)数据选择:经过选择将多路数据中的某一路数据传送到公共数据线上。1、数据选择器的定义和功能通道1通道2通道3通道42位通道选择信号三数据选择器(2)数据选择器:实现数据选择功能的逻辑电路。数据线数据输出一般地,n位通道选择信号对应2n个通道(3)4选1数据器:①真值表②逻辑图1I1&&&&1I0I2I211S1EYS0I3110I2010I1100I00000××1YS0S1E输出地址选择使能(1)74HC151集成电路数据选择器(8选1)的功能

==70iiiDmY2、集成电路数据选择器D7D71110D6D60110D5D51010D4D40010D3D31100D2D20100D1D11000D0D0000010×××1YYS0S1S2E功能表mi为S2S1S0的最小项,如S2S1S0

=010,m2=1,其余最小项为0,故Y=D2.

==70iiiDmY(2)数据选择器的应用D00D01

D07D10D11

D17Y0Y0Y1Y12位8选1数据选择器①数据选择器的扩展(位的扩展)ES2S1S0……ED0D1

D7YY74HC151S2S1S0……ED0D1

D7YY74HC151S2S1S0多位数选器可由多个1位数选器并联组成字的扩展:DCBA1YD00D01

D07D8D9

D1516选1数据选择器(S0S1S2对应ABC)1&(Y)可以把数选器的使能端当地址高位,实现字扩展。……ES2

S1

S0D0D1

D7YY74HC151(0)……ES2

S1

S0D0D1

D7YY74HC151(1)②逻辑函数产生器

==70iiiDmY由74HC151的输出函数表达式:输出函数表达式中包含地址变量的所有最小项,若将地址变量作为输入变量,数据输入信号D0—D7作为控制信号,控制各个最小项在输出逻辑函数中是否出现,使能端E始终保持低电平,则数据选择器就成为一个3变量的函数产生器。【例4·4·7】试用8选1数据选择器74HC151产生逻辑函数:ABCBABCAL++=解:ABCCBABCAL++=ABC+m3L+=m5m6+m7+776655DmDmDm+++4433221100DmDmDmDmDm++++=Y取D3=D5=D6=D7=1;D0=D1=D2=D4=0;则Y=m3+m5+m6+m7=L取D3=D5=D6=D7=1;D0=D1=D2=D4=0;【例4·4·7】1L已得D3=D5=D6=D7=1;D0=D1=D2=D4=0;ABCD0D1D2D3D4D5D6D7YY74HC151ES2S1S0显然L=ABCCBABCA++ABC+由此可画出该逻辑函数产生器的逻辑图如右:【例题】某人设计的函数F(A,B,C,)=∑m(2,5,7)产生电路如图所示,试问该图中有哪些错误

之处,并改正之(所用器件为8选1数选器)。ABC1012MUXYYVCCEN0

1

2

3

4

5

6

7CBA0012MUXYYVCCEN0

1

2

3

4

5

6

7⑶实现并行数据到串行数据的转换D0D1D2D3D4D5D6D701001101CPQ2Q1Q0计数器CPL01001101Y74LS151S2

S1

S0

EL并入串出数值比较器:对两数A、B进行比较,以判断其大小的逻辑电路。比较的结果有A>B、A<B及A=B三种情况。1、数值比较器的定义和功能(1)1位数值比较器10011001010101010000FA=BFA<BFA>BBA真值表FA>B=ABFA<B=ABFA=B=AB+AB四、数值比较器由表可得:1位数值比较器的逻辑图FA>B=AB、FA=B=AB+AB=AB+ABFA=BFA>BFA<BBA11&&1FA<B=AB(2)2位数值比较器真值表100A0=B0A1=B1010A0<B0A1=B1001A0>B0A1=B1010×A1<B1001×A1>B1FA=BFA<BFA>BA0B0A1B1FA>B=(A1>B1)+(A1=B1)(A0>B0)FA<B=(A1<B1)+(A1=B1)(A0<B0)FA=B=(A1=B1)(A0=B0)由表可得:两位数值比较器的逻辑图FA>B=(A1>B1)+(A1=B1)(A0>B0)FA<B=(A1<B1)+(A1=B1)(A0<B0)FA=B=(A1=B1)(A0=B0)1位数值比较器A1B1A1>B1A1<B1A1=B11位数值比较器A0B0A0>B0A0<B0A0=B0&11&&FA>BFA=BFA<B2、集成数值比较器74HC85(1)集成数值比较器74HC85的功能74HC8516151413121110912345678GNDVccB0A3B2A2A1B3IA<B

IA=B

IA>B

FA>B

FA=B

FA<BA0B1①74HC85的引脚图74x85是四位数值比较器,74HC85是CMOS的四位数值比较器②74HC85的功能表(P160表4·4·15)(2)、数值比较器的位数扩展①串联方式扩展C0A0B0A1B1A2B2A3B3A0B0A1B1A2B2A3B3IA>BIA<BIA=BFA=BFA<BFA>B001C0A0B0A1B1A2B2A3B3A4B4A5B5A6B6A7B7IA>BIA<BIA=BFA=BFA<BFA>BFA=BFA<BFA>B低4位的比较结果作为高4位比较的条件,速度较慢。有速度要求时,可采取并联方式。②并联方式扩展C2A3B3A2B2A1B1A0B0A11B11A10B10A9B9A8B8IA>BIA<BIA=BFA>BFA<BC1A3B3A2B2A1B1A0B0A7B7A6B6A5B5A4B4IA>BIA<BIA=BFA>BFA<BC0A3B3A2B2A1B1A0B0A3B3A2B2A1B1A0B0IA>BIA<BIA=BFA>BFA<B001001001001C3A3B3A2B2A1B1A0B0IA>BIA<BIA=BFA<BFA=BFA>B00FA<BFA=BFA>B(这里以12位说明,教材16位)分两级进行比较,16位分4组比较是并行进行的,各组结果再比较得出最后结果。速度比串行的快一倍五、

算术运算电路11011001+011010011两个二进制数相加时,有两种情况:一种不考虑低位来的进位,另一种考虑低位来的进位。加法器也因此分为半加器和全加器。两个4位二进制数相加的过程:1、半加器和全加器(1)半加器半加:只考虑两个加数本身,不考虑低位来的进位。0001011001010011进位数C和数S加数B被加数A半加器真值表C=ABS=AB+AB五、算术运算电路由表得:半加器的逻辑图和符号:C=ABS=AB+ABS=AB+AB=A

B

COABSC逻辑符号ABC=ABS=A

B=1&逻辑图(2)全加器(FullAdder)

①全加:进行两个加数和低位来的进位信号三者相加,并根据求和结果给出该位的进位信号。②全加器第i位相加真值表被加数Ai加数Bi低位来的进位Ci-1和数Si向高位的进位Ci0000111100110011010101010110100100010111③全加器的逻辑表达式:0000=Ai

Bi

Ci-1Si=AiBiCi-1

+AiBiCi-1+AiBiCi-1+AiBiCi-1

=AiBi

+(Ai

Bi)

Ci-1Ci

=AiBi

+AiBiCi-1+AiBiCi-1被加数Ai加数Bi低位来的进位Ci-1和数Si向高位的进位Ci00001111001100110101010101101001000101110000CiAiBiCi-111110100011110SiAiBiCi-111110100011110④逻辑电路(两个半加器构成)

COAiSiBi

COAi

BiAiBi≥1Ai

Bi

Ci-1Ci-1Ci(Ai

Bi)Ci-1⑤逻辑符号

Si=Ai

Bi

Ci-1

Ci=AiBi

+(Ai

Bi)

Ci-1

COAiCi-1SiCiCIBi2、多位数加法器(1)串行进位加法器0CICOA0B0C-1CICOA1B1C0CI

COA2B2C1CICOA3B3C2C3S0S1S2S3四位串行进位加法器例题低位的进位信号送给邻近高位作为输入信号,任一位的加法运算必须在低一位的运算完成之后才能进行。称为串行进位。缺点:运算速度不高。优点:电路简单。(2)超前集成进位加法器Si

=Ai

Bi

Ci-1Ci

=(Ai

Bi

)Ci-1+AiBi

①超前进位的概念Pi

=Ai

BiGi

=Ai·Bi;定义中间变量Gi

和Pi:若Ai=Bi=1

Gi=1

Ci=1

Gi为产生变量若Pi=1

Ai·Bi=0

Ci=Ci-1

Pi为传输变量

Si=PiCi-1;

Ci

=Gi+PiCi-1

各位进位信号的逻辑表达式为:Gi

、Pi

均与进位信号无关。各位进位信号的逻辑表达式为:C0

=G0+P0C-1C1

=G1+P1C0=G1+P1G0+P1P0C-1C2

=G2+P2C1=G2+P2G1+P2P1G0+P2P1P0C-1C3

=G3+P3G2+P3P2G1+P3P2P1G0+P3P2P1P0C-1因进位信号只与Gi、Pi

和C-1有关,而C-1

是向最低位的进位信号,其值为0,所以各位的进位信号都只与两个加数有关,可以并行产生。象这样的多位加法,每位的进位只由加数和被加数决定,与低位进位无关。这种进位方式称为超前进位。&=1B3A3&=1B2A2&=1B1A1&=1B0A0P3G3G2G1G0P2P1P0C-1=1P3S3=1P2S2=1P1S1=1P0S0COC3C0C1C2C-1②集成4位超前进位加法器74HC283由两片集成4位超前进位加法器74HC283构成的8位加法电路(在片内是超前进位,而片与片之间是串行进位)。(3)超前进位产生器74LS182超前进位产生器74LS182的逻辑图和逻辑符号:专用的超前进位产生器用于将多片运算电路之间的进位信号连接成并行进位结构。逻辑图逻辑符号(3)超前进位产生器74LS182逻辑图可以用来实现多个超前进位产生器连接.3、减法运算(1)反码和补码(无符号数)反码:将原码中的0变为1、1变为0所得的代码原码:自然二进制码原码和反码之间的关系:N原:0000

0001

0101111111101010N反:111111111111N反=(2n–1)-N原——n等于数码的位数补码的定义:对n位数N原,

N补=2n-N原N补=N反+1补码和反码的关系:原码反码补码反相加1(2)由加补码来完成减法运算∵N补=2n-N原∴-N原=N补

–2n=N反+1

–2n

对两个n位数A和B相减,则A-B

=A+(-B)=A+B反+1

–2n①4位减法运算逻辑图:4位加法器11111C

-1B3B1B2B0A3A1A2A0D3D1D2D0借位信号V1

A-B

=A+(-B)=A+

B反+1

–2nB反码减2n加1进取位反②减法运算过程分析:(a)AB的情况:(设A=0110,

B=0010)0110(A)1101(B反)

1(加1)+0100100100借位差的原码0110

-0010

0100

AB时,借位信号为0,所得的值就是差的原码。直接相减(b)A<B的情况:(设A=0001,B=0110)0001(A)1001(B反)1(加1)+101101进取位反1011借位差的绝对值的补码0001-0110-0101A<B时,借位信号为1,所得的值为实际差值绝对值的补码。直接相减③由借位信号决定求补的逻辑图

4位加法器=1=1=1=1C

-1B3B1B2B0A3A1A2A0D

3D

1D

2D

0D3D1D2D0V当V=0时,差为正数,无需再求补,输出为原码;

当V=1时,差为负数,需再求补才得原码输出。

=1=1=1=1C

-1B3B1B2B0A3A1A2A0D

3D

1D

2D

0D3D1D2D0V11111C

-1B3B1B2B0A3A1A2A0D3D1D2D0V1④完整的四位减法运算电路最后结果*4、集成算术/逻辑单元(ALU74181)74LS181双极型ALU(1)74LS181的功能表(2)逻辑符号(3)16位全超前进位ALU逻辑符号组进位产生(传输)变量输出串行进位输出端这样连接后,每组的Cn+4端不用,对应的由74LS182产生,(3)块的Cn+4端为运算结果的最高位进位。应用组合逻辑器件进行设计时要注意的问题对逻辑表达式的变换与化简的目的是使其尽可能与组合逻辑器件的形式一致,而不是尽量化简。设计时应考虑合理充分应用组合器件的功能,尽量用同类的、较少的和较简单的器件满足设计要求。当组合器件的功能用不完时,要对多余的输入、输出端作适当的处理;当一个组合器件不能满足设计要求时,应对器件进行适当的扩展。【例题】欲用双2-4线译码器(74LS139)扩展成4-16线译码器,试问需用74LS139_______片,输入信号线_______条,输出信号线_______条。A3A21010A1A010101074LS139【例题】某人设计的函数F(A,B,C,)=∑m(2,5,7)产生电路如图所示,试问该图中有哪些

错误之处,并改正之。ABC1012MUXYYVCCEN0

1

2

3

4

5

6

7CBA0012MUXYYVCCEN0

1

2

3

4

5

6

7通道选择输入数据输入例:试用两片全加器74LS183组成一个四位加法器74LS183VCC2Ai2Bi2Ci-12Ci2Si地1Ai1Bi1Ci-11Ci1Si74LS183VCC2Ai2Bi2Ci-12Ci2Si地1Ai1Bi1Ci-11Ci1Si74LS183VCC2Ai2Bi2Ci-12Ci2Si地1Ai1Bi1Ci-11Ci1Si低位片A0B0A1B1A2B2A3B3S0S1S2S3C34.5组合可编程逻辑器件(PLD)可编程逻辑器件(PLD)是一种可以由用户定义和设置逻辑功能的器件。使用之实现数字系统,可以提高集成度、速度、可靠性,减少功耗。4.5.1PLD的结构、表示方法及分类1.PLD的结构图4.5.1PLD图结构(a)一般框图(b)基本电路结构

ZY与门阵列××××××××××××××××AB输入输出或门阵列(b)(a)4.5.1PLD的结构、表示方法及分类2.PLD的表示方法硬线连接单元×被编程接通单元ZY与门阵列××××××××××××××××AB输入输出或门阵列(1)连接方式被编程擦除单元也称可编程“断开”单元(2)基本门电路的表示方式(a)与门L1=ABCL2=A+B+CL3=0L4=1(输入保持“悬浮”的1态)(b)或门(c)输出恒等于0的与门(d)输出为1的状态(e)输入缓冲器(f)三态输出缓冲器(3)编程连接技术L=A·CPLD表示的与门(b)

熔丝工艺的与门原理图

(c)CMOS工艺的与门原理图(4)浮栅MOS管开关分为叠栅注入MOS(SIMOS)管、浮栅隧道氧化层MOS(Flotox)管和快闪(Flash)叠栅MOS管.不同的浮栅MOS管连接的PLD,编程信息的擦除方法也不同,SIMOS管连接的PLD,采用紫外线照射擦除;

Flotox管和快闪叠栅MOS管,采用电擦除方法。①SIMOS管开关叠栅注入

(SIMOS)管结构和符号浮栅上累积电子与开启电压的关系编程处理前,浮栅上没有电荷,与普通MOS管一样。此时开启电压为VT1,编程处理后,开启电压升为VT2,正常逻辑高电平不能达到其开启电压,管始终截止,即断开。VCCD漏源控制栅浮栅如图所示,浮栅带电时,相当于存数据D=1②FlotoxMOS管开关当漏源极均接地,控制栅加上足够高的电压(20V)时,交叠区将产生一个很强的电场使漏区电子通过绝缘层到达浮栅而带负电。相反的加压则使浮栅放电。即电擦除。编程处理后,开启电压升高,正常逻辑高电平下,管始终截止,即断开。③快闪(Flash)叠栅MOS管.特点:源极的N+区大于漏极的N+区;二是浮栅到P衬底间的氧化绝缘层比SIMOS管的更薄。编程处理后,开启电压升高,正常逻辑高电平下,管始终截止,即断开。编程方式与SIMOS管的相同。3.PLD的分类按照PLD的集成度,可分为低密度和高密度器件,1000门以下为低密度,例如PROM、PLA、PAL、GAL等;1000门以上为高密度,例如CPLD、FPGA等;也可按结构体系分为简单、复杂和现场可编程三种。还有按阵列可编程情况的分类,如图4.5.8(a)PROM的与阵列固定,或阵列可编程;(b)PLA的与阵列或阵列均可编程;(c)PAL和GAL与阵列可编程,或阵列固定;图4.5.8PLD的分类PROM的基本电路结构PLA的基本电路结构PAL的基本电路结构4.5.2组合逻辑电路的PLD实现任何组合逻辑关系都可以变换成与或表达式,因此通过PLD的与、或阵列都可以实现任何一个逻辑函数。图4.5.8(a)的PROM的与阵列是将输入变量的全部最小项译出来了,用它实现逻辑函数,只用一部分,利用率低,因此很少作为PLD器件使用。而(b)(c)用得较多。PROM的PLD表示法输入项(地址线)输出项(数据线)A3A2A0××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××A1Y1Y0Y2Y3或阵列(可编程)与阵列(固定)或阵列编程可得到任意的逻辑函数Y0~Y3(或数据D0~D3).1.可编程逻辑阵列PLA

PLA的与阵列或阵列均可编程;所以将逻辑函数化简后再实现,可以有效地提高芯片的利用率。PLA的规格用输入变量数、与阵列的乘积项数、或阵列的输出端数三者的乘积表示。典型的集成PLA(82S100)有16个输入变量、48个乘积项、8个输出端。例4.5.1由PLA构成的逻辑电路如图4.5.9所示,试写出该电路的逻辑表达式,并确定其功能。解:(1)由图得(2)由表达式列真值表(2)由表达式列真值表(3)由表看出,如A、B、C分别为加数、被加数和低位进位数,则L0为和数,L1为向高位的进位数。可见,电路实现了全加器的功能。0110100100010111010101010011001100001111L0L1CBA输出输入例4.5.1真值表2.可编程阵列逻辑器件(PAL)PAL由可编程的与阵列,固定的或阵列和输出电路组成图4.5.10PAL的基本电路结构右图表示:它是4组10×3位的PAL:10个输入变量,3个乘积项,4组输出,所有交叉点由熔丝连通(图中省略)。用之保留,不用断之。例4.5.2用图4.5.10所示PLA实现下列逻辑函数。解:L0~L2各含3个以下乘积项,可直接实现。L3含4项,不能直接实现,但其前两项正好为L0,固可反馈实现,右图为实现电路。小结1.分析组合逻辑电路的目的是确定已知电路的功能。其步骤大致是:逻辑图逻辑表达式真值表功能分析2.设计组合逻辑电路的目的是根据实际问题,设计出逻辑电路。其步骤大致是:明确逻辑功能要求列真值表逻辑表达式逻辑图3.应用逻辑器件设计电路要把逻辑表达式化简并变换为与器件的形式一致。4.可编程逻辑器件由用户定义和设置逻辑功能,可以实现各种组合逻辑电路。4.1.6试分析图题4.1.6所示电路的逻辑功能。解:(1)由图写出逻辑表达式并变换ABCi

SCo

0000010100111001011101110010100110010111(2)由表达式可得真值表(3)该电路为1位全加器,AB为被加数和加数,Ci为低位进位,S为和,C0为向高位进位。图题4.1.64.1.8试分析图题4.1.8所示电路的逻辑功能。解:采用如上题的解法(2)化简和变换逻辑表达式(1)由图写出逻辑表达式(3)由表达式可得真值表(4)由真值表和表达式分析电路功能。显然,电路功能是:当ABCD所表示的二进制数小于或等于9时,输出L4L3L2L1为对应输入的十进制数9的补码,当ABCD所表示的二进制数大于9时,电路输出伪码,标志位F输出为1。这里9的补码:输出=9-输入(1)由图写出逻辑表达式(2)化简和变换逻辑表达式(3)由表达式可得真值表真值表这里9的补码:输出=9-输入【加例】试用与非门和非门设计一译码器,译出对应ABCD=0010、1010、1110状态的3个信号。解:(1)设对应译出的信号为Y1

,Y2

,Y3,译码输出低电平有效;(2)依题意可列真值表ABCDY1Y2Y3001010101110011101110(3)由真值表可得表达式(4)由表达式可画图如下:这里Yi可以用标记,表示低有效4.2.9某雷达站有三部雷达A、B、C,其中A和B功率消耗相等,C的功率是A的2倍。这些雷达由2台发电机X和Y供电,发电机X的最大输出功率等于雷达A的功率消耗,发电机Y的最大输出功率是X的3倍。要求设计一个逻辑电路,能够根据各雷达的启动和关闭信号,以最节约电能的方式起、停发电机。解:(2)依题意可知,当A或B工作时,只需要X发电;A、B、C同时工作时,需要X和Y同时发电;其它情况只需要Y发电。由此可列出真值表(1)设雷达A、B、C启动为1,关闭为0,发电机X、Y起动为1,停止为0。(3)真值表ABCXY0000010100111001011101110001100110010111(4)由真值表可画卡诺图并得简化逻辑表达式。ABCXY0000010100111001011101110001100110010111(5)由逻辑表达式可画出电路。(5)由逻辑表达式可画出电路。图题4.2.9电路4.3.3判断图题4.3.3所示电路在什么条件下会产生竞争冒险,怎样修改电路能消除竞争冒险?解:在一定条件下,如果逻辑表达式简化为两个互补信号相乘或者相加,电路有可能产生竞争冒险现象。根据电路图写出逻辑表达式并化简得:当A=0、C=1时,有可能产生竞争冒险现象.为消除可能产生的竞争冒险,增加乘积项,使按此式得到修改后的电路(b)(a)(b)同样的条件时L≡14.4.4试用优先编码器74HC147设计键盘编码电路,十个按键分别对应十进制数0~9,编码器的输出为8421BCD码,要求按键9的优先级别最高,并且有工作状态标志,以说明没有按键按下和按键0按下两种情况。解:依题意根据设计要求列出真值表,与74HC147功能表对照,可画出符合要求的键盘编码电路。当码输出为0时,工作状态标志GS=1为按键0按下,为有效编码,GS=0为没有按键按下时的0,为无效码。GSDCBA4.4.10试用译码器74HC138和其它逻辑门设计一地址译码器,要求地址范围是00H~3FH。解:按以上思路,对本题,给定的74HC138为3-8线译码器,要求地址范围是00H~3FH,最大为6位第64个地址第64个译码输出,显然需要8片74HC138,需增加3位地址码。依题意根据设计要求列出真值表,与74HC138功能表对照。对译码器扩展地址范围的一类问题,主要是利用译码器的使能端来代表地址的高位,一般而言,使能端越多,可能扩展的范围就越大。设计的思路是:首先根据设计要求计算需要的基本芯片数,列出真值表,然后由真值表分析高位地址的变化情况,建立使能端和高位信号的对应关系,通过使用直接接入或附加反相器使扩展地址的高位从小到大变化时,基本芯片依次轮流工作。将A2A1A0分别接74HC138的输入端,A5A4A3通过反相器或直接接入片选信号端(使能端),使(1)片到(6)片依地址值变大依次工作(任何时刻只有一片工作),即完成设计。4.4.18设计一4选1数据选择器,数据输入是I0、I1、I2

、I3,数据输出是Y,4个控制信号为S0、S1、S2

、S3

。要求只有当Si=1时,Ii与Y接通,且由另一个控制信号E作为该选择器的使能信号。解:依题意列出该数据选择器的功能表,如表题解4.4.18所示。×

×

×

I0××I1××I2××I3

×××××××I3

I2I1

I0I0I1I2I3高阻100011001010100110000××××YE

S3S2

S1S0表题解4.4.18由功能表写出Y的逻辑表达式(1)画出由反相器、两输入与门和或门实现的逻辑电路。(2)选择一合适的三态门作为输出级。注意:输出非1或0时,其表达式是乘积项与输出信号的乘积。用非门和2输入与门、或门实现该数据选择器,并用三态门作输出级,电路如图所示。图题解4.4.18由图,如S0=1,则Y=I0004.4.2074HC151的连接方式和各输入端的输入波形如图题4.4.20所示,画出输出端Y的波形。解:由图题4.4.20电路可写出此时74HC151(8选1)的功能表由功能表的输出状态和图题4.4.20中给出的E、A、B、C、A0、A2波形可画出Y的波形。4.4.24试用五个2输入端或门、一个与门和非门实现语句“A>B”,A和B均为2位二进制数。解:设两个数为和依题意,设A>B时,输出F为1,否则为0,列真值表(略)首先写出两位二进制数进行大

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论