版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
证券研究报告先进封装不断演进,设备厂商迎来新机遇2023年12月29日证券研究报告证券研究报告目录一、先进封装市场空间广阔二、先进封装的平台化技术三、典型先进封装产品四、先进封装设备梳理一、先进封装市场空间广阔证券研究报告1.1先进封装:高效集成,降低成本
先进封装一般指将不同系统集成到同一封装内以实现更高效系统效率的封装技术,是对应于先进晶圆制程而衍生出来的概念,换言之,只要该封装技术能够实现芯片整体性能(包括传输速度、运算速度等)的提升,就可以视为是先进封装。
通过先进封装可以相对轻松地实现芯片的高密度集成、体积的微型化和更低的成本。先进封装在提高芯片集成度、缩短芯片距离、加快芯片间电气连接速度以及性能优化的过程中扮演了更重要角色。正成为助力系统性能持续提升的重要保障,并满足“轻、薄、短、小”和系统集成化的需求。
摩尔定律的延伸受到物理极限、巨额资金投入等多重压力,迫切需要别开蹊径推动技术进步。据IBS统计,在达到28nm制程节点以后,如果继续缩小制程节点,每百万门晶体管的制造成本不降反升。
先进封装处于晶圆制造(“前道”)和芯片封测(“后道”)之间,被称为“中道”,包括重布线(RDL)、凸块制作(Bumping)及硅通孔(TSV)等工艺技术,涉及与晶圆制造相似的光刻、显影、刻蚀、剥离等工序步骤。芯片每百万门制造成本随制程节点变化趋势$4.50$4.00$3.50$3.00$2.50$2.00$1.50$1.00$0.50$0.00中道:先进封装$4.01芯片设计晶圆制造前道代表企业:TSMCUMCSMIC华虹芯片封测$2.82后道代表企业:日月光矽品长电科技$1.94代表企业:高通$1.527nm片$1.42$1.43$1.45$1.30芯
设计片英伟达AMDAmkor90nm65nm
45/50nm
28nm20nm
16/14nm
10nm资料:IBS,华安证券研究所整理资料:克洛智动工程,华安证券研究所整理华安证券研究所4证券研究报告1.1先进封装VS传统封装
与设计和晶圆制造相比,封装行业进入壁垒较低。因此在中国集成电路发展早期,众多企业选择以封测环节作为切入口,并不断加强对海内外企业并购动作,以持续扩大公司规模。目前封测已成为中国大陆半导体产业链中竞争力最强的环节。
传统封装过程如下:将晶圆切割为晶粒(Die)后,使晶粒贴合到相应的基板架的小岛(LeadframePad)上,再利用导线将晶片的接合焊盘与基板的引脚相连(WireBond),实现电气连接,最后用外壳加以保护(Mold,或Encapsulation)。典型封装方式有DIP、SOP、TSOP、QFP等。传统封装的封装效率(裸芯面积/基板面积)较低,存在很大改良的空间。
先进封装主要种类有:倒装(FlipChip),凸块(Bumping),晶圆级封装(Waferlevelpackage),2.5D封装(interposer,RDL等),3D封装(TSV)等封装技术。先进封装在诞生之初只有WLP,2.5D封装和3D封装几种选择,近年来,先进封装的发展呈爆炸式向各个方向发展。先进封装技术于上世纪90年代出现,通过以点带线的方式实现电气互联,实现更高密度的集成,大大减小了对面积的浪费。传统封装和先进封装功能对比部分先进封装工艺示意图(按技术种类分类)方向传统封装(倒装
先进封装(Fan-outWLP和2.5D/3D为例)为例)Fan-outWLP2.5D/3D系统内存带宽芯片能耗比芯片厚度芯片发热封装成本性能低低高中低低中高低低中中高高中高高高晶圆级封装平面、芯片之间
多芯片、异质集成、芯片之间高速互联缺乏高速互联Fan-out晶圆级封装2.5D封装3D封装形态资料:电子发烧友,维基百科,华安证券研究所整理资料:智芯仿真,华安证券研究所整理华安证券研究所5证券研究报告1.1先进封装VS先进制程
大功耗、高算力的场景,先进封装/Chiplet有应用价值。芯片面积越大,工艺良率越低,在实际制造中得到的单颗芯片的制造成本就越高,因此,在先进制程不可获得的背景下,降制程而通过芯片堆叠的方式,可以一定程度减少算力劣势,但是因为堆叠更多芯片,需要更大的IC载板、更多的Chiplet小芯片、更多的封装材料,也导致因为制程落后带来的功耗增大、体积/面积增加、成本的增加。先进制程和先进封装对比方向传统制程先进封装从系统效率提升的角度,一是让CPU更靠近Memory,让“算”更靠近“存”,提升每一次计算的算存效率。二是让单个芯片封装内集成更多的元件:信号传输速度排序,Wafer>ICsubstrate>PCB,元件在芯片内部的通讯效率比在板级上更高,从系统层面提升芯片性能。缩小单个晶体管特征尺寸,在同等芯片面积(Diesize)水平下,提升晶体管集成度(同等设计框架,芯片性能/算力与晶体管数目正相关)提升芯片性能方式先进制程能够在算力和晶体管数目不变时,通过缩小单个晶体管特征尺寸,实现芯片面积(Diesize)缩小因为封装对晶体管尺寸无微缩的能力,只能通过更精细的材料、更致密的结构来实现轻薄化。芯片轻薄化资料:集贤网,华安证券研究所整理华安证券研究所6证券研究报告1.1先进封装发展历程
封装技术起源于1970s,先进封装在1990s崭露头角。其中通孔插装型、表面贴装型、球栅阵列型封装一般被认为是传统封装,晶圆级封装、2.5D/3D封装技术等为先进封装。传统封装的基本连接系统主要采用引线键合工艺,先进封装指主要以凸点(Bumping)方式实现电气连接的多种封装方式,旨在实现更多I/O、更加集成两大功能。芯片封装发展历程及形式阶段时间封装类型具体封装形式第一阶段
20世纪70年代以前第二阶段
20世纪80年代以后通孔插装型封装表面贴装型封装球栅阵列封装(BGA)晶体管封装(TO)、陶瓷双列直插封装(CDIP)、塑料双列直插封装(PDIP)传统封装塑料有引线片式载体封装(PLCC)、塑料四边形扁平封装(PQFP)、小外形表面封装(SOP)等塑料焊球陈列封装(PBGA)、陶瓷焊球陈列封装(CBGA)、带散热器焊球陈列封装(EBGA)、倒装芯片焊球陈列封装(FCBGA)晶圆级封装(WLP)第三阶段第四阶段20世纪90年代20世纪末芯片级封装(CSP)多芯片组封装(MCM)引线框架CSP封装、柔性插入板CSP封装、刚性插入板CSP封装、圆片级CSP封装多层陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印刷板(MCM-L)先进封装系统级封装(SiP)、三维立体封装(3D)、芯片上制作凸点(Bumping)微电子机械系统封装(MEMS)、晶圆级系统封装-硅通孔(TSV)、倒装焊封装(FC)、表面活化室温连接(SAB)、扇出型集成电路封装(Fan-out)、扇入型集成电路封装(Fan-in)第五阶段
21世纪前10年开始资料:资产信息网,华安证券研究所整理华安证券研究所7证券研究报告1.2先进封装下游应用场景催化•
随着5G、物联网、高性能运算、智能驾驶、AR/VR等前沿技术的飞速发展,对高端芯片的需求呈现出持续增长的态势。这些高端芯片的大量应用都依赖于先进封装技术,在此背景下先进封装的成长性显著优于传统封装,先进封装在整个半导体封测市场中的比重将持续上升。•
长远来看,随着终端应用的不断升级以及对芯片封装性能要求的提升,先进封装在AI、HPC、数据中心、CIS、MEMS传感器等领域也将迎来广阔的增量空间。终端应用对先进封装的需求应用领域人工智能CPU/GPUAPUDPUMUCASICFC,FOFPGA储存传感器模拟光电子FC,FO,FC,FO,WB,
WB,QFQFN,WLCS
N,ED,SiFC,2.5D/3D,FOFC,FO,EDFC,WB,QFN,WLCSP智能驾驶FC,2.5D/3D,FO,SiPP,SiPPAR/VRHPCFC,2.5D/3D.WB.SiPFC,FO,EDFC,2.5D/3D,FOFC,3D,WB,QFN,W
FC,FO,WB,LCSP,SiP
QFN,WLCSP,SiPFC,WB,QFN,WLCSPIoT5GFC,2.5D/3D,
FC,FO,FC,FO,FO,SiPEDWB,QF
FC,2.5D/FC,FO,WB,
M,ED,S
3D.WB.S手机通信区块链QFN,WLCSP,SiPiPiPFC,2.5D/3D,FOFC,2.5D/3D,FO资料:JWInsights,华安证券研究所整理华安证券研究所8证券研究报告1.2先进封装市场空间广阔
据YoleGroup,全球先进封装市场规模将由2022年的443亿美元,增长到2028年的786亿美元,年复合成长率(CAGR)为10%。而其中,2.5D/3D先进封装市场收入规模年复合增长率近40%,在先进封装多个细分领域中位列第一。
根据Frost&Sullivan数据,中国大陆封测市场2022年507.5亿元,我们结合Yole数据测算占世界比例16%。中国大陆封测市场预计将保持增长,在2025年达到3,551.9亿元的市场规模,其中先进封装将以4年29.91%的复合增长率持续高速发展,在2025年达到1,136.6亿元,国大陆封测市场比重将达到32.00%,增速远高于传统封装。2022-2028年全球先进封装市场规模(十亿美元)2016-2025E中国大陆封测市场规模(销售口径)400035003000250020001500
187.7100050040%35%38.01%1136.6
30%88828.00%25%27.19%700.426.78%26.65%293.7507.539919.61%351.322.22%263.3207.920%15%10%5%14.79%13.58%11.55%6.50%10.76%4.97%
4.77%2.26%2.39%1.41%2016
2017
2018
2019
2020
2021E
2022E
2023E
2024E
2025E0.60%0%0传统封装(亿元)先进封装(亿元)传统封装增长率(%)先进封装增长率(%)资料:Yole,华安证券研究所整理资料:Frost&Sullivan,汇成股份公告,华安证券研究所整理华安证券研究所9证券研究报告1.2先进封装市场空间广阔
根据Frost&Sullivan对国内封装市场的预测及以下假设:
1)根据YOLE的2021年前十大先进封装资本开支的半导体厂商数据,选取其中国内封测厂的2021年的先进封装资本开支/先进封装营收均值22%,作为先进封装资本开支占比;
2)设备在资本开支中的占比为70%;
3)先进封装国产化率假设从2021年的10%增长至2025年的20%进行国产设备空间测算。
得到结论:2025年国内先进封装设备市场空间达172.1亿元,2021-2025年先进封装设备市场空间CAGR为30%。2021-2025年国内先进封装设备空间测算2021E2,261.1399.02022E2,312.1507.52023E2,344.8700.42024E2,400.9888.02025E2,415.31,136.63,551.932%传统封装(亿元)先进封装(亿元)中国大陆市场(亿元)先进封装市场占比2,660.115%2,819.618%3,045.223%3,288.927%先进封装资本开支占比22%22%22%22%22%资本开支(亿元)设备占比86.370%60.4109.870%151.570%192.170%245.970%设备空间(亿元)yoy76.9106.1134.5172.127.19%38.01%26.78%28.00%资料:
Frost&Sullivan,华安证券研究所整理华安证券研究所10证券研究报告1.3先进封装玩家众多
封装传统上属于OSAT和IDM的领域,如今开始涌入来自不同商业模式的玩家,包括晶圆代工厂、设计厂商等纷纷积极布局先进封装技术。类别厂商英特尔美光地区美国美国先进封装产品路线扇出型封装(INFO)嵌入式多芯片互连桥封装(Co-EMIB)3D逻辑晶圆堆叠封装(Foveros)全方位互连技术封装(FoverosOmni)HBM布局&以后的布局二年前宣布投资35亿美元扩充新墨西哥州的先进封装产能,至今仍进行中。在马来西亚投资新厂预定2024年底或2025年正式激活。英特尔预估2025年先进3D封测产能,将比2023年扩张达四倍的规模。通过EMIB方式,KBL-G平台将英特尔酷睿处理器与AMD
RadeonRX
Vega
M
GPU整合在一起,同时具备了英特尔处理器强大的计算能力与AMD
GPU出色的图形能力。首款Foveros
3D堆迭设计的主板芯片LakeField,它集成了10nm
IceLake处理器以及22nm核心,具备完整的PC功能,体积小。Foveros已经在Lakefield芯片上应用,集成了10nm
CPU、22nm
IO核心。HBM3Gen2产品;除了加速部署美光领先的DRAM技术外,台中四厂还将在日本和中国台湾地区扩大1-beta工艺和HBM3E产能。I-Cube2.5D封装X-Cube3DIC封装扇出型面板级封装(FOPLP)2021年5月,宣布下一代2.5D封装技术Interposer-Cube4(I-Cube4)即将面世,可将一个或多个Logic
Chip和多个高带宽内存芯片(HBM)使用硅中介层,从而使多个芯片排列封装在一个芯片里。2021年11月,又推出了全新2.5D封装解决方案H-Cube(Hybrid
SubstrateCube,混合基板封装),专用于需要高性能和大面积封装技术的HPC、人工智能、数据中心和网络产品等领域。X-Cube已经在自家的7nm和5nm制程上面通过了验证,计划和无晶圆厂的芯片设计公司继续合作,推进3D封装工艺在下一代高性能应用中的部署。于2022年12月成立了先进封装(AVP)团队,致力于开发下一代2.5D和3D高级封装解决方案,包括RDL3(重布线层)、SiInterposer(硅中介层)/Bridge4(硅桥接)和TSV5(硅通孔)等堆叠技术,为客户提供高性能和低功耗解决方案的一站式服务。三星计划在明年推出全新的“SANIT”品牌的3D先进封装技术,SAINT技术包括SAINTS(SRAM内存与CPU的垂直堆叠)、SAINTD(核心IP的封装)和SAINTl(定制的应用程序处理器)。HBM市场份额第二大,第四代HBM(HBM3)以及封装服务已经通过AMD品质测试。AMD的Instinct
MI300系列AI芯片计划采用三星HBM3及封装服务。IDM三星韩国韩国扇出型晶圆级封装(FOWLP)扇出型晶圆级封装(FOWLP)倒装芯片封装(FCiP)SK海力士准备在下一代DRAM中应用2.5D扇出(2.5Dfan-out)封装技术,最快将在2024年发布相关方案;SK海力士穿透式硅通道(TSV)封装FBGA封装SK海力士是HBM方案的强有力推动者,SK海力士最早将在2026年量产第六代HBM,即HBM4,其将拥有12层或16层DRAM。SK海力士还透露,将把下一代后处理技术“混合键合”应用于HBM4产品。系统级集成芯片封装(SoIC)基板上晶圆芯片封装(CoWoS)CoWoS技术于2011年推出,2013年便在赛灵思
28nm的
FPGA上量产,之后随着
AI
的发展被大量采用,包括英伟达的
GP100、谷歌的
TPU2.0
等;台积电的InFO
技术于
2014年投入研发,2016
年台积电利用该技术获得了苹果
APU(A10)订单,InFO
成为台积电独占苹果A系列处理器订单的关键;台积电的SoIC技术已经在竹南六厂(AP6)进入量产,月产能近2000片,AMD是其首发客户,最新的MI300采用了
SoIC搭配CoWoS封装的方案。23年6月,苹果新款Mac
Pro换上了M2
Ultra,这颗苹果有史以来面积最大的SoC,由两颗M2
Max芯片“缝合”而成,用的是台积电的先进封装技术UltraFusion。英伟达在A100及H100等相关AI
Server需求带动下对CoWoS需求变大。台积电台湾多晶圆堆叠封装技术(WoW)晶圆代工晶圆级封装(WLP)3DIC封装联华电子为全球顶尖封装厂合作,搭建了完整的2.5DTSI硅中介层晶圆封装供应链。同时,公司拥有丰富的晶圆凸块、打线、堆叠式晶片、晶圆级等封装专业一站式服务经验,并提供认证合格的2.5D、3DIC和扇出型晶圆级封装解决方案,以满足先进封装的需求。联电与华邦、智原、日月光、益华电脑等公司合作,成立了W2W以及3DIC先进封装平台,协助客户加速3D封装产品的生产,计划2024年投入运营。联华电子台湾美国2.5DTSI封装打线封装(WireBonding)层叠式封装(PoP)系统级封装(SiP)Amkor安靠科技晶圆级封装(WLP)倒装芯片封装(FCiP)硅晶圆集成扇出型封装(SWIFT)FOSiP封装FOCoS-Bridge封装2.5D/3D封装光电共封装(Co-PackagedOptics)晶圆级封装(WLP)倒装芯片封装(FCiP)BGA封装Amkor目前提供超过1,000种不同的封装格式和尺寸。公司在封装领域不断创新,通过研发团队和300多名专业技术人员,深研于设计和开发各种封装技术,主要为硅通孔(TSV)、系统级封装(SiP)、堆叠晶粒、晶圆级、MEMS、铜焊线、铜柱等技术的发展,采用倒装芯片和3D解决方案来改善互连。未来,Amkor计划在美国亚利桑那州皮奥里亚投资约20亿美元建设先进的封装和测试设施,致力于成为美国最大的外包先进封装工厂。日月光拥有垂直互连整合的封装解决方案,能协助客户在单个封装集成多颗晶片,可将不同的组件集成在一个硅片上,以实现更小,更紧凑的系统结构。同时日月光推出了VIPack先进封装平台,VIPack拥有多种具顶端的子封装平台以及ABF的替代解决方案,可以为大多数市场应用提供解决方案。VIPack可扩展最先进的封装技术蓝图,并且具有显著的成本效益和性能优势。目前日月光在高效能运算(HPC)和AI领域已布局多项封装技术。ASE日月光
台湾封测厂力成科技PTI力成科技作为全球积体电路的封装测试服务厂商,积极开发先进封测及异质产品整合技术,包含覆晶封装、系统级封装、晶圆级封装、CMOS影响感测器、2.5D/3DTSV及扇出型面板级封装等技术。力成不断深研CoWoS等封装技术,明年中力成也将拥有高频宽记忆体(HBM)先进封装能力,有助力成布局人工智慧(AI)等高阶封装应用。台湾引脚框架封装(Leadframe)系统级封装(SiP)A100基于NVIDIA的Ampere架构,是NVIDIA第一款专为数据中心设计的大型GPU。H100基于NVIDIA的Hopper架构,是NVIDIA推出的最新一代数据中心GPU。H100主要由三个部分构成:中心的H100裸片两侧各有三个HBM堆栈,最外层则是台积电的2.5DCoWoS封装框。英伟达美国美国台积电是晶圆代工和封装的主要外包IC半导体设计2022年,AMD首创了V-Cache技术,它通过使用芯片到晶圆混合键合AMD的MI210组件将芯片集成在扇出高架桥接(fan-outelevatedbridgete芯片连接到处理器来使用额外的缓存,这种技术允许高速缓存的垂直堆叠,以改进互连、减少键合间距并加快处理器内核对高速缓存的访问。ogy)技术中,其中多个桥接芯片将处理器裸片连接到HBM内存;AMD2023/12推出的两颗芯片都采用了“3.5D封装“的技术生产,其中,MIAMD3DV-Cache300X芯片适用于各种各样生成式AI应用场景,MI
300A则更适用于用在HPC应用和数据中心上。华安证券研究所11资料:各公司公告&官网,华安证券研究所整理证券研究报告1.3中国大陆厂商积极布局先进封装
2022年3月,由英特尔、AMD、台积电等国际厂商牵头的UCIe联盟成立,其定义了封装内Chiplet之间的互连,以实现Chiplet在封装级别的普遍互连和开放的Chiplet生态系统,目前已经有多家本土厂商加入(如长电科技、芯原股份等),通过与国际先进厂商合作,将有助于本土厂商技术提升和产品迭代。同时,技术。等国内领先技术公司也都在布局Chiplet先进封装产业类别厂商地区先进封装产品路线布局&以后的布局晶圆代工厂(集成电路晶圆代工为主,封测外包)除集成电路晶圆代工外,公司亦致力于打造平台式的生态服务模式,促进集成电路产业链一站式服务。在封装方面,公司与世界各大顶尖封测厂合作,长电科技提供微系统集成的封装测试,绍兴中芯集成电路制造股份有限公司提供特色工艺集成电路芯片和模块封装的代工生产制造服务。封测外包主要合作伙伴:长电科技,盛合晶微,矽品精密工业,日月新,安测半导体等中国上海晶圆代工厂&封测厂(中段硅片制造和封测服务)晶圆级芯片封装(WLCSP)穿透式硅通道(TSV)封装盛合晶微是以集成电路前段芯片制造体系和标准,采用独立专业代工模式服务全球客户的中段硅片制造企业,并进一步发展先进的三维系统集成芯片业务。公司自主创新的SmartPoser™技术平台衍生出的3D先进封装结构,具有高密度RDL和TIV特性,可实现高密度互连,并为各种应用如移动、高性能计算等提供高性能封装方案。中国江苏中国江苏盛合晶微通富微电扇出型封装(INFO)晶圆级封装(WLP)倒装芯片封装(FCiP)公司持续开展以超大尺寸F0及2.5D技术为代表的新技术,新产品研发。在2023年,由于5g高端手机对芯片需求的提升,公司借助成熟的系统级(SiP)封装技术和高端引线互联封装技术快速实现大量芯片封装国产化。公司将面向高端处理器等产品大力投资2.5D/3D等先进封装研发积极拉通chiplet市场化应用,提前布局更高品质,更高性能,更先进的封装平台,扩展先进封装产业版图。公司已经建立起国内顶级的2.5D/3D封装平台和超大尺寸FCBGA研发平台,为客户提供晶圆级和基板级Chiplet封测解决方案,是AMD最大的封装测试供应商。系统级封装(SiP)玻璃覆晶封装(COG)卷带式覆晶封装(COF)汇成股份正不断拓宽封测芯片的应用领域,公司所掌握的凸块制造技术(Bumping)是高端先进封装的代表性技术之一,它大幅提高了芯片封装的集成度、缩小了模组体积。基于客户需求,公司布局Fan-out、2.5D/3D、SiP等高端先进封装技术,持续进行研发投入。公司将积极扩充12吋大尺寸晶圆的先进封装测试服务能力,保持行业及产品的领先地位,以及拓展CMOS影像传感器、车载电子等新兴产品领域。汇成股份中国安徽引脚框架封装(Leadframe)倒装芯片封装(FCiP)系统级封装(SiP)目前公司已掌握了SiP、FC、TSV、Bumping、Fan-Out、WLP、3D等集成电路先进封装技术。公司不断创新推进2.5D等先进封装技术研发,完成BDMP、HBPOP等封装技术开发和高散热FCBGA(铟片)工艺开发,不断拓展车规级产品类型。华天科技长电科技甬矽电子中国甘肃中国江苏中国浙江封测厂凸块封装晶圆级封装(WLP)晶圆级封装(WLP)集成无源器件(IPD)穿透式硅通道(TSV)封装Bumping&WLP封装BGA封装QFN/QFP引线封装MEMS/Optical传感器封装倒装芯片(Flipchip)SiP系统级封装22年先进封装产品销量占比达35%,收入占比达2/3。当前公司先进技术方面覆盖SiP、WL-CSP、FC、eWLB、PiP、PoP及XDFOI™等系列,公司先进封装技术包括FC、TSV、SiP、2.5D/3D、晶圆级等产品,为国内先进封装最突出的厂商之一。公司全部产品均为中高端先进封装形式,封装产品主要包括“高密度细间距凸点倒装产品(FC
类产品)、系统级封装产品(SiP)、扁平无引脚封装产品(QFN/DFN)、微机电系统传感器(MEMS)”4
大类别,下辖
9种主要封装形式,共计超过
1,900个量产品种。资料:各公司公告&官网,华安证券研究所整理华安证券研究所12二、先进封装的平台化技术华安证券研究所证券研究报告2.1先进封装技术与产品发展相辅相成
先进封装的技术与产品设计是相辅相成的,通用型技术进步推动产品发展。先进封装以缩小尺寸、系统性集成、提高I/O数量、提高散热性能为发展主轴,可以包括单芯片和多芯片,倒装封装以及晶圆级封装被广为使用,再搭配互连技术(TSV,
Bump等)的技术能力提升,进一步提升系统的集成度,内外部封装可以搭配组合成不同的高性能封装产品。资料:资产信息网,千际投行,Yole,华安证券研究所整理华安证券研究所14证券研究报告2.2先进封装重点技术--倒装(Flipchip)
倒装(Flipchip)
封装以引线键合(WireBonding)及倒装连接(FlipChipBonding)最为常见。引线键合的连接方式是将芯片的正面朝上,通过引线(通常是金线)将芯片与线路板连接。倒装芯片技术是通过芯片上的凸点直接将元器件朝下互连到基板、载体或者电路板上。倒装连接以结构紧凑,可靠性高在封装行业应用越来越广泛。引线键合倒装传统细间距球栅系列(FGBA)倒装结构示意图信号传输路径资料:电子发烧友,华安证券研究所整理资料:电子发烧友,华安证券研究所整理
倒装优点:①小尺寸:小的IC引脚图形减小了高度和重量。②功能增强:增加I/O的数量。I/O不像导线键合处于芯片四周而受到数量的限制。③性能增加:短互连距离减小电感、电阻、电容,保证了信号延迟减少、较好的高频率、晶片背面较好的热通道。
倒装步骤:资料:维基百科,华安证券研究所整理华安证券研究所15证券研究报告2.3先进封装重点技术--凸点(bumping)
Bumping工艺
Bumping工艺又称凸点工艺(倒装第二步),是WLP(晶圆级封装工艺)过程的关键工序。晶圆凸点对于倒装芯片或板级半导体封装至关重要。凸块是一种先进的晶圆级工艺技术,在将晶圆切割成单个芯片之前,在晶圆上以整个晶圆的形式形成由焊料制成的“凸块”或“球”。这些“凸块”可以由共晶、无铅、高铅材料或晶圆上的铜柱组成,是将芯片和基板互连在一起形成单个封装的基本互连组件。这些凸块不仅提供芯片和基板之间的连接路径,而且在倒装芯片封装的电气、机械和热性能方面发挥着重要作用。
Bumping工艺流程资料:失效分析工程师,华安证券研究所整理①凸点下金属化(UBM)→②焊料凸点→③回流形成凸点(Reflow)
①凸点下金属化(UBM)在芯片表面金属层上制备芯片凸点时,为了防止封装中的金属及污染离子向芯片表面金属层扩散造成腐蚀或形成硬脆的金属间化合物(IntermetallicCompound,IMC),降低互连系统的可靠性,需要在芯片表面金属层与芯片凸点之间添加凸点下金属化层(UnderBumpMetallurgy,UBM)结构作为过渡层。如图所示,UBM结构包括覆盖在芯片金属层上的粘接层、阻挡层、润湿层和抗氧化层。资料:失效分析工程师,华安证券研究所整理华安证券研究所16证券研究报告2.3先进封装重点技术--凸点(bumping)
UBM制作工艺UBM的制作工艺主要分为溅射、蒸镀、化学镀三种,前两者属于物理气相沉积方法,均在真空条件下完成,相对于化学镀成本较高工艺方法方法说明成本溅射用溅射的方法一层一层地在硅片上沉积薄膜,通过照相平版技术形成UBM图样,然后刻蚀掉不是图样的部分。中物理气相沉积(PVD)蒸镀利用掩模,通过蒸镀的方法在硅片上一层一层地沉积。这种选择性的沉积用的掩模可用于对应的凸点的形成之中。高低化学镀采用化学镀的方法在Al焊盘上选择性地镀Ni。常常用锌酸盐工艺对Al表面进行处理。无需真空及图样刻蚀设备,低成本。资料:电子发烧友,华安证券研究所整理化学镀过程举例分析:在铝的表面沉积一层锌,防止铝发生氧化(铝也会被镀液腐蚀掉,因此需要采用二次镀锌工艺)化学镀镍用作UBM的沉积,金属镍起到连接/扩散阻挡的作用。镍的扩散率非常小,与焊料也几乎不发生反应,适合作共晶焊料的UBM金属铝焊盘表面有一层氧化物,镀层金属无法粘附在氧化的表面上,因此铝表面要清除氧化物层。镀金,由于金导电性能好,且不易氧化,可增加倒装连接的可靠性资料:电子发烧友,华安证券研究所整理华安证券研究所17证券研究报告2.3先进封装重点技术--凸点(bumping)•
②焊料凸点焊料凸点方法有蒸镀焊料凸点、电镀焊料凸点、印刷焊料凸点、钉头焊料凸点、放球凸点、焊料转移凸点等不同工艺,其中电镀焊料及印刷焊料工艺使用较广泛。凸点常用的材料是Pb/Sn合金,因为其回流焊特性好,适合工业化生产。除了常见的Pb/Sn合金,凸点也有Au/Ni合金等凸点材料,为了保证可靠的互连,UBM必须与用于凸点的焊料合金相容。电镀凸点制作流程印刷焊料凸点制作流程现在大量采用的模板印刷方法,通过涂刷器和模板,将焊料涂刷在焊盘上。广泛应用在200um-400um的焊盘间距印刷。对小间距焊盘,由于模板印刷不能均匀分配焊料体积,应用受到限制。影响模板印刷工艺质量因素很多,包括印刷压力、间隙高度、环境控制、重熔温度曲线等参数等。焊料颗粒大小和分布是直接影响焊料凸点均匀的一个重要因素,一般允许的最大颗粒直径为欲填充模板孔径最小宽度的三分之一。在电镀焊料凸点中,形成UBM后,在焊盘上涂覆光刻胶以形成凸点图案。光刻胶可决定电镀凸点的形状和高度,因此在电镀凸点前,要去除光刻胶残渣。在电镀中焊料电镀后,形成的多为凸点蘑菇状。与其他方法相比较,电镀凸点成分及高度控制比较困难,因此多选共晶焊料,如63Sn/37Pb等。电镀后,去除光刻胶,焊料凸点再进行重熔过程,获得球型凸点。资料:电子发烧友,华安证券研究所整理资料:电子发烧友,华安证券研究所整理华安证券研究所18证券研究报告2.3先进封装重点技术--凸点(bumping)•
凸点类型•
C4:最早的FC晶圆C4凸点制造技术是IBM公司开发的蒸镀工艺,C4工艺可以达到较薄封装外形和较高引脚密度的要求,且具有电性能优良以及凸点芯片可返修等优点。此外,C4焊料凸点在熔融过程中的表面张力还可以帮助焊料与金属层进行自对准,在一定程度上降低了对沉积精度及贴片精度的要求,一般C4凸点芯片的焊料回流焊凸点节距可以小至50μm。•
C2:随着IC集成度的提高,芯片凸点需要满足细节距以及极细节距芯片的要求。而C4凸点回流后呈球型,尺寸较大,在节距较小时容易发生短路。因此,需要使用其他技术进行细节距芯片的凸点制作,C2(ChipConnection)技术是其中的主流技术之一。C2技术中使用的Cu柱直径不受高度影响,可以实现更细节距凸点的制备。电镀过程中不再是电镀焊料而改为电镀Cu。在带焊料帽Cu柱制造过程中,除了电镀Cu还会在Cu柱上再电镀一层厚度较薄的焊料帽。因为Cu的热导率和电阻率都优于焊料,因此与C4技术相比,C2凸点有更好的电性能、热性能和力学性能。但是C2凸点的焊料体积非常小,故C2凸点的自对准性不如C4焊料凸点。电镀C4凸点制作流程电镀C2凸点制作流程资料:失效工程分析师,华安证券研究所整理资料:失效工程分析师,华安证券研究所整理资料:SMT之家,华安证券研究所整理华安证券研究所19证券研究报告2.4键合方式键合方式原理特点应用场景
芯片键合技术在半导体制造中占有重要的地位,它为组件间提供了一个可靠的电气和机械连接,使得集成电路能够与其它系统部分进行通信。
键合形式主要分为引线键合和凸点键合,键合使用时间可以分为永久键合和临时键合,从界面材料可分为带中间层的胶键合,共晶键合,金属热压键,无中间层的熔融键合和阳极键合等。聚合物、旋涂玻璃、抗蚀剂和聚酰亚胺是一些适合用作粘合中间层的材料低温加工、表面平坦化胶键合共晶键合键合过程中形成的共晶合金作为键合层,共晶合金是在经过液相的过程中在键合界面形成的大批量生产、气密性好排气量低适合真空应用RF
MEMS、发光二极管
(LED)、激光二极管以及功率器件也称TCB键合,加热下压在一起的两个金属表面之间建立了金属键,其实现原子扩散键合,扩散速率取决于原子在晶格之间扩散时所选择的温度和施加的压力。金属热压键合高力均匀性、高量产(a)熔融键合(b)胶合剂键合(c)金属热压键合(d)混合键合超光滑表面之间的范德华力相互吸引熔融键合使用氢键来桥接晶圆之间的绝缘膜,室温或大气中进行,随后的退火工艺将低能氢键转化为共价键射频基板、背照式图像传感器等是熔合键合技术的发展,它允许嵌入每个晶圆表面的金属焊盘一次全部键合,在空气中预接合绝缘层,并在退火期间通过金属扩散接合进行电连接CMOS图像传感器、存储器和
3D片上系统
(SoC)混合键合可同时进行机械和电气连接硅晶圆与具有高碱金属氧化物含量的玻璃晶圆的键合,接触并施加电场后被加热时,就会发生键合。达到一定温度后,氧化物解离,碱离子被电场驱动到玻璃中,
高,并且该过从而在晶片界面处形成富氧层。电场将氧离子驱入硅表面,从而形成二氧化硅。粘合强度非常阳极键合--程是不可逆的资料:evgroup,华安证券研究所整理资料:半导体行业观察,华安证券研究所整理华安证券研究所20证券研究报告2.4键合方式
熔融、混合键合发展历程资料:半导体行业观察,华安证券研究所整理
AMD是第一家推出使用铜混合键合芯片的供应商,这种先进芯片堆叠技术,可实现下一代类似3D的设备和封装。混合键合堆叠和连接芯片使用微型铜到铜互连,提供比现有芯片堆叠互连方案更高的密度和带宽。
右图长江存储Xtacking是典型的混合键合,通过不同的工艺,先后制作Memory晶圆和CMOS晶圆,在后道制程中构建两者的触点。通过混合键合,这些触点被连接导通,Memory和CMOS就在垂直方向实现了互联,不必TSV资料:半导体行业观察,华安证券研究所整理资料:合明科技,华安证券研究所整理华安证券研究所21证券研究报告2.5先进封装重点技术--重布线层(RDL)
重布线层(RDL)RDL(ReDistributionLayer)重布线层,起着XY平面电气延伸和互联的作用。在芯片设计和制造时,IOPad一般分布在芯片的边沿或者四周:IOpad是一个芯片管脚处理模块,即可以将芯片管脚的信号经过处理送给芯片内部,又可以将芯片内部输出的信号经过处理送到芯片管脚。这对于BondWire工艺来说很方便,但对于FlipChip就比较困难了。因此,RDL就尤为关键:在晶元表面沉积金属层和相应的介质层,并形成金属布线,对IO端口进行重新布局,将其布局到新的,占位更为宽松的区域,并形成面阵列排布。redistributedbumps资料:电子发烧友,华安证券研究所整理资料:电子发烧友,华安证券研究所整理华安证券研究所22证券研究报告2.6先进封装重点技术--硅通孔技术(TSV)
硅通孔技术(TSV)它是通过在芯片与芯片之间、晶圆和晶圆之间制作垂直导通;TSV技术通过铜、钨、多晶硅等导电物质的填充,实现硅通孔的垂直电气互联,这项技术是目前唯一的垂直电互联技术,是实现3D先进封装的关键技术之一。TSV技术的优点:①高密度集成:通过先进封装,可以大幅度地提高电子元器件集成度,减小封装的几何尺寸和封装重量。满足微电子产品对于多功能和小型化的要求。②提高电性能:TSV技术可以大幅度地缩短电互连的长度,很好地解决出现在SOC(二维系统级芯片)技术中的信号延迟等问题,提高电性能。③多种功能集成:通过TSV互连的方式,可以把不同的功能芯片集成在一起实现电子元器件的多功能。④降低制造成本:目前TSV三维集成技术在工艺上的成本较高,但是可以在元器件的总体水平上降低制造成本。TSV封装和叠层封装的对比TSV技术的步骤:①形成通孔→②制作相关特别晶片→③通孔的金属化→④TSV键合①形成通孔:形成通孔主要有三种技术:工艺方法干法蚀刻湿法蚀刻方法说明速度快,方向性好,控制性强,最常用方法快速且廉价地加工,缺点是加工精度略低激光打孔速度更快,但因热损伤将精度降低,并未常用资料:智芯仿真,华安证券研究所整理资料:智芯仿真,华安证券研究所整理华安证券研究所23证券研究报告2.6先进封装重点技术--硅通孔技术(TSV)②制作相关特别晶片如果晶片用于3D封装则需要减薄,以保证形成通孔的孔径与厚度比例在合理范围。若不考虑层堆叠的要求,芯片间的通孔互连技术要求上层芯片的厚度在20-30微米。晶片减薄技术中需要解决磨削过程晶片始终保持平整状态,减薄后不发生翘曲、下垂、表面损伤扩大、晶片破裂等问题。③通孔的金属化TSV的通孔金属化,通常是以电镀的方法进行的。但由于硅基板本身基体的导电性较差,不能直接进行电沉淀。所以,其金属化将首先使用PVD沉淀(PhysicalVaporDeposition,物理气相沉淀)出厚度为数个纳米的电子层,使得硅基板有导电性之后,再进行电镀。④TSV键合完成通孔金属化和连接端子的晶片之间的互连通常称为TSV键合技术。这种技术采用的工艺有金属-金属键合技术和高分子粘结键合等,而目前以金属-金属键合技术为主要方式,因为这种技术可以同时实现机械和电学的接触界面。例如铜-铜键合在350-4000℃下施加一定压力并保持一段时间,接着在氮气退火炉中经过一定时间退火而完成TSV键合。资料:电子发烧友,华安证券研究所整理华安证券研究所24证券研究报告2.7先进封装重点技术--晶圆级封装(WLP)
晶圆级封装(WLP)晶圆级封装(WaferLevelPackaging,缩写WLP)是一种先进的封装技术,因其具有尺寸小、电性能优良、散热好、成本低等优势,近年来发展迅速。不同于传统封装工艺,晶圆级封装是在芯片还在晶圆上的时候就对芯片进行封装,保护层可以黏接在晶圆的顶部或底部,然后连接电路,再将晶圆切成单个芯片。晶圆级封装的优势:①封装尺寸小:由于没有引线、键合和塑胶工艺,封装无需向芯片外扩展,使得WLP的封装尺寸几乎等于芯片尺寸。②高传输速度:与传统金属引线产品相比,WLP一般有较短的连接线路,在高效能要求如高频下,会有较好的表现。③高密度连接:WLP可运用数组式连接,芯片和电路板之间连接不限制于芯片四周,提高单位面积的连接密度。④生产周期短:WLP从芯片制造到、封装到成品的整个过程中,中间环节大大减少,生产效率高,周期缩短很多。⑤工艺成本低资料:芯片半导体实验室,华安证券研究所整理资料:屹立新创,华安证券研究所整理华安证券研究所25证券研究报告2.7先进封装重点技术--晶圆级封装(扇入扇出型封装)
扇出型(Fan-Out)封装,扇入型(Fan-In)封装。扇入型(Fan-In)封装工艺流程大致描述为,整片晶圆芯片进行封装测试,之后再切割成单颗芯片,封装尺寸与芯片尺寸大小相同。扇出型封装一般是指,晶圆级/面板级封装情境下,封装面积与die不一样,且不需要基板的封装,例如FOWLP/FOPLP。随着I/O数量的增加,芯片尺寸无法容纳所有I/O时,扇出型封装由此衍生而来。扇出型封装基于重组技术,芯片被切割完毕后,将芯片重新嵌埋到重组载板(8寸,12寸wafercarrier或者600mmX580mm等大尺寸面板),按照与扇入型封装工艺类似的步骤进行封装测试,然后将重组载板切割为单颗芯片,芯片外的区域为Fan-Out区域,允许将球放在芯片区域外。•
扇入型封装和扇出型封装区别两者最大的差异为RDL布线,扇入和扇出是指凸点Bump是否超出了裸片Die的面积在扇入型封装中,RDL向内布线,而在扇出型封装中,RDL既可向内又可向外布线,所以扇出型封装可以实现更多的I/O。扇入/扇出型封装布线示意图扇出型封装分为FOWLP和FOPLP两种:FOWLP(Fan-outWaferLevelPackage)是WLP的一种,要将RDL和Bump引出到裸芯片的外围,需要先进行裸芯片晶圆的划片分割,然后将独立的裸芯片重新配置到晶圆工艺中,以此为基础,批量处理、金属化布线互连,形成封装。FOPLP(Fan-outPanelLevelPackage)面板级封装,和FOWLP工艺相似,借鉴了FOWLP的思路和技术,但采用了更大的面板,因此可以量产出数倍于300毫米硅晶圆芯片的封装产品。由于其面板面积远大于晶圆面积,因而可以一次封装更多的产品。相对FOWLP,FOPLP具有更大的成本优势。目前,全球各大封装业者包括三星电子、日月光均积极投入到FOPLP制程技术中。资料:电子发烧友,华安证券研究所整理华安证券研究所26证券研究报告2.82.5D/3D封装
2.5D/3D是在同一封装内包含多个IC的封装方法。在2.5D结构中,两个或多个有源半导体芯片并排放置在硅中介层上,以实现极高的芯片间互连密度。在3D结构中,有源芯片通过芯片堆叠进行集成,以实现最短的互连和最小的封装尺寸。3D封装的代表产品例如台积电SoIC(System-on-Intergrated-chips,系统整合单晶片),英特尔Foveros,三星X-Cube,是一种创新的多芯片堆栈技术,晶圆对晶圆(wafer-on-wafer)的结合技术,此结构没有突起的键合结构,采用TSV技术,拥有更好的运作性能。3D封装和2.5D封装的主要区别在于:2.5D封装是在Interposer上进行布线和打孔,而3D封装是直接在芯片上打孔和布线,电气连接上下层芯片。3D集成目前在很大程度上特指通过3DTSV的集成。3D封装的物理结构是所有芯片及无源器件都位于XY平面之上且芯片相互叠合,XY平面之上设有贯穿芯片TSV,XY平面之下设有基板布线及过孔。电气连接为芯片采用TSV与RDL直接电连接。2.5D封装原理3D封装原理3D封装的原理是在芯片制作电晶体(CMOS)结构,并且直接使用硅穿孔来连结上下不同芯片的电子讯号,以直接将记忆体或其他芯片垂直堆叠在上面。此项封装最大的技术挑战是,要在芯片内直接制作硅穿孔困难度极高。优点:2.5D封装,主要的概念是将处理器、记忆体或是其他的芯片,并列排在硅中介板(SiliconInterposer)上,先经由微凸块(MicroBump)连结,让硅中介板之内金属线可连接不同芯片的电子讯号;接着再透过硅穿孔(TSV)来连结下方的金属凸块(SolderBump),再经由导线载板连结外部金属球,实现芯片、芯片与封装基板之间更紧密的互连。•••尺寸减小:单个封装内垂直堆叠半导体元件,减少物理空间。减轻重量:减轻电子系统的重量。垂直堆叠实现紧凑结构降低设备的整体重量。电源效率:具有双重影响。首先,组件的垂直堆叠通常会导致互连长度较短。数据传输距离的缩短可以降低信号损失并降低功耗。其次,片外通信的减少进一步提高了功效。由于组件紧密集成在3D封装内,芯片之间对能源密集型数据传输的需求减少,从而实现整体节能。优点:2.5D封装的中介层可在成熟的低损耗封装结构中实现高密度互连,提供更高效的散热和更快的处理速度。资料:ResearchGate,华安证券研究所整理资料:ResearchGate,华安证券研究所整理资料:爱彼电路,华安证券研究所整理华安证券研究所27三、典型先进封装产品华安证券研究所证券研究报告先进封装产品多样,关注前沿领域2021-2027年高性能封装按技术拆分
先进封装技术和产品多样,根据Yole数据,2027年有源硅中介层在高性能封装中占比最高,EMIB则年化增长最快。我们需要重视相关技术应用的典型产品及终端应用,其产业动向将推动先进封装产业的前沿发展。
据Yole预测,到2027年,高性能封装市场收入预计将达到78.7亿美元,2021-2027年的复合年增长率为
19%。
到
2027
年,UHD
FO、HBM、3DS
和有源Si中介层将占总市场份额的50%以上,是市场增长的最大贡献者。
嵌入式Si桥、3D
NAND堆栈、3D
SoC和HBM是增长最快的四大贡献者,每个贡献者的CAGR都大于20%。资料:YOLE,华安证券研究所整理华安证券研究所29证券研究报告3.1典型封装产品应用梳理分类封装产品具体应用产品Nvidia封装了A100GPU系列产品,目前台积电CoWoS晶圆产能中,Nvidia及AMD使用约70%-80%产能。苹果、AMD、博通、Marvell等重量级客户近期也对台积电追加CoWoS订单。台积电CoWos英特尔EMIB三星I-Cube集成了AMDVega图形核心的KabyLake-G,PonteVecchio的通用型独立GPU。2.5D封装“I-Cube4”
已
商用,可用于HPC、AI、5G、云、数据中心等各种领域
。
1
8
年
推
出
了
I
-Cube2封装技术,2020年带来了X-Cube,目前还在开发更复杂的I-Cube6长电科技XDF-OI台积电SoIC要应用场景集中于对集成度和算力有较高要求的高性能运算应用、5G等刚起步,AMD明年上市的MI300芯片将采用SoIC搭配CoWoS用于即将发布的MeteorLake、ArrowLake和LunarLake芯片,明年量产“混合x86处理器”已经在自家的7nm和5nm制程上面通过了验证,计划和无晶圆厂的芯片设计公司继续合作英特尔Foveros三星X-Cube3D封装提供4D毫米波先进封装量产解决方案长电扩展eWLB台积电InFOFOWLP三星FoWLP扇出型iPhone7/7Plus所需的A10处理器三星新Exynos2400移动处理器将采用扇出型封装(FoWLP)资料:电子发烧友,未来半导体,华安证券研究所整理华安证券研究所30证券研究报告3.2
2.5D封装产品--CoWoS
2.5DIC集成技术通过将逻辑计算(Logic)和HBM(HighBandwidthMemory,高带宽内存芯片)安装在硅中介层上,然后直接放置在封装基板上来集成逻辑计算和HBM芯片。TSV/RDL中介层适用于极细间距、高I/O、高性能和高密度半导体IC应用。
逻辑和HBM首先并排键合在硅中介层上,形成晶圆上芯片(CoW),在器件之间具有细间距和高密度互连布线。每个HBM均由带有微凸块的DRAM和带有直通TSV的逻辑基座组成。硅通孔(TSV)是支持2.5D和3D高级封装的功能。TSV是电气连接路径,是穿过硅晶圆或芯片的短垂直柱,可实现更小的封装尺寸和更密集的互连,通过缩短电气传输距离来提高电气性能,并实现HBM等产品中使用的多个芯片的堆叠。最后,在封装基板上完成具有较大凸块的TSV中介层的组装。:counterpoint,华安证券研究所整理资料:台积电官网,华安证券研究所整理资料华安证券研究所31证券研究报告3.2
2.5D封装产品--CoWoS•CoWoS-S:它使用Si中介层,该类型是2011年开发的第一个“CoWoS”技术,为高性能SoC和HBM提供先进的封装技术;•CoWoS-R:它使用重新布线层(RDL)进行布线,更强调Chiplet间的互连。能够降低成本,不过劣势是牺牲了I/O密度;•CoWoS-L:它使用小芯片(Chiplet)和LSI(本地硅互连)进行互连,结合了CoWoS-S和InFO技术的优点,具有灵活集成性。资料:台积电官网,电子发烧友,华安证券研究所整理华安证券研究所32证券研究报告3.3INFO•相较于在硅晶圆中间布线做连接的CoWoS技术,InFO封装把硅中介层换成了polyamidefilm材料,从而降低了单位成本和封装高度。•InFO_oS利用InFO技术并具有更高密度的2/2μmRDL线宽/间距,集成多个先进逻辑芯片,用于5G网络应用。它支持SoC上的混合焊盘间距,最小40μmI/O间距、最小130μmC4Cu凸块间距以及>65x65mm基板上的2X掩模版尺寸InFO。•
InFO_PoP是业界第一个3D晶圆级扇出封装,是FOWLP和PackageonPackage的合体。具有高密度RDL和TIV,可将移动AP与DRAM封装堆叠集成以用于移动应用。与FC_PoP相比,InFO_PoP由于没有有机基板和C4凸块,因此具有更薄的外形和更好的电气和热性能。资料:半导体行业观察,华安证券研究所整理资料:台积电官网,华安证券研究所整理华安证券研究所33证券研究报告3.43D封装产品--SoIC
2018年4月,台积电首度对外界公布了创新的系统整合单芯片(SoIC)多芯片3D堆叠技术。
SoIC是基于台积电的CoWoS与多晶圆堆叠(WoW)封装技术开发的新一代创新封装技术,这标志着台积电已具备直接为客户生产3DIC的能力。
作为业内第一个高密度3Dchiplet堆叠技术,SoIC被看作“3D封装最前沿”技术。台积电表示,SoIC能提供创新的前段3D芯片堆叠技术,用于重新集成从SoC划分的小芯片,最终的集成芯片在系统性能方面优于原始SoC,并且它还提供了集成其他系统功能的灵活性。相较2.5D封装方案,SoIC的凸块密度更高,传输速度更快,功耗更低。右图为SoIC的结构示意图。同种/异种芯片的3D封装示意图台积电的3DSoIC的凸点间距最小可达6um,居于所有封装技术首位。与CoWoS技术相比,SoIC可提供更高的封装密度、更小的键合间隔资料:台积电官网,华安证券研究所整理资料:电子发烧友,华安证券研究所整理华安证券研究所34证券研究报告3.53D封装产品--HBM
HBM(高带宽内存)是一种具有极高带宽(数据传输速率)的DRAM。连接存储器和处理器并交换信号的输入/输出电路(IO:Input/Output)称为总线。每秒通过该总线的数据信号数量称为带宽,带宽值越大,数据处理速度越快。带宽由一根信号线的传输速度
x总线数量决定。HBM应用应用说明图形处理单元
(GPU)在渲染图像和视频时必须快速处理大量数据。HBM以其高带宽减少了
GPU性能瓶颈。显卡
HBM之所以能够实现高传输速度和大量总线,得益于其采用TSV(硅通孔)和垂直存储器堆叠的高密度布线。与传统的引线键合连接相比,它可以实现更高的布线密度和更短的布线距离,减少信号传播延迟并实现更高的工作频率。此外,利用三维结构,在存储器芯片下方放置并连接逻辑层,可以控制存储器操作并提高数据传输的效率。HBM并不存在于单一封装中,而是以与主机处理器结合的多芯片封装的形式存在。高性能计算超级计算机和专用数据中心利用
HBM快速执行复杂的计算和模拟。(HPC)深度学习和人工智能神经网络学习和推理需要大量数据和快速计算。HBM以其高带宽最大化
AI芯片的性能。
HBM市场主要由三星、SK海力士和美光三家机构把持。截至2022年,SK海力士在全球HBM市场中占领了50%的份额,三星电子紧随其后,占40
%,其次是美光科技公司占10%。SK海力士在2021年10月开发出全球首款HBM310,持续巩固其市场领先地位。HBM3的容量是HBM2E的1.5倍,由12个DRAM芯片堆叠成,总封装高度相同,适用于AI、HPC等容量密集型应用三星I-Cube4示意图美光HMC示意图资料:SK海力士官网,华安证券研究所整理资料:电子发烧友,华安证券研究所整理资料:格隆汇,华安证券研究所整理华安证券研究所35证券研究报告3.6扇出型产品——FOCoSFOCoS(Fan-OutChiponSubstrate),是利用Die的基板,进行扇出操作,电路是通过封装的基板进行扩展,而扇出到Die面积之外:它和FOWLP的关键区别是RDL是在哪里。FOCoS的RDL是在基板上,也就是基板是保留的,锡球最后也是植入在基板上的,这和FOWLP的无基板区别很大。资料:日月光官网,华安证券研究所整理华安证券研究所36四、先进封装设备梳理华安证券研究所证券研究报告4.1封装设备增速上行,国产化率有望进一步提升
资本开支上行+先进封装,封装设备增速上行。SEMI
预测
2023年半导体封装设备销售额预计下降
31%
至
40
亿美元,
后续随着资本开支和先进封装的推进,2024
/2025封装设备销售额将增长
24%/20%,达到2025年的60亿美元。
封装设备国产化率有待进一步提升,先进封装是一大契机。据中国国际招标网数据统计,封测设备国产化率整体上不超过5%,低于制程设备整体上10%-15%的国产化率。随着先进封装的不断推进,将带动原本封装设备和新增前道设备在封装环节的国产化推进。芯片封装工艺流程全球半导体设备市场规模(十亿美元)2025E5.95
8.42109.76资料:耐科装备招股说明书,华安证券研究所整理2024E4.95
7.293.16封装设备占比6.322023E3.9990.591%20225.78
7.52094.1焊线机18%30%固晶机20406080100120140划片机封装设备测试设备晶圆厂设备28%23%塑封机切筋成型机资料:SEMI,华安证券研究所整理资料:SEMI,华安证券研究所整理华安证券研究所证券研究报告4.2先进封装设备增量梳理
先进封装要求在晶圆划片前融入封装工艺步骤,具体包括应用晶圆研磨薄化、重布线(RDL)、凸块制作(Bumping)及硅通孔(TSV)等。先进封装产线设备由芯片封装原有后道设备与新增中前道设备构成,建议重点关注新增中前道设备的运用场景增量,及原有后道设备的精度和用量增长。分类设备名称光刻机先进封装应用示例市场说明RDL图形转移和再连接、TSV钻市场主要由荷兰的阿斯麦、日本尼康和佳能三家把持,其中ASML更是全球绝对龙头,几乎垄断了高端光刻机(EUV)市场。国内参与者主要是上海微电子、芯碁微装。孔新增中前道设备涂胶显影设备UBM、RDL涂胶显影日本东京电子(TEL)一家独大,市场份额接近87%,国内企业主要是芯源微,润华全芯微。薄膜沉积设备刻蚀TSV电镀前沉积种子层TSV刻蚀打孔应用材料、泛林半导体、TEL三大厂商占据70%的市场份额。国内主要厂商为北方华创和沈阳拓荆、微导纳米等。该领域主要被泛林半导体、TEL东京电子、应用材料三家海外巨头垄断。国内刻蚀设备商主要为中微公司、北方华创。日资占绝大部分份额,2022年前三大厂商DISCO、东京精密和光力科技份额占比超过87%,DISCO占有超65%份额,东京精密25%,国有化率为10%。划片机WLP切割全球市场上的主要减薄机生产商包括日本DISCO(迪斯科)、日本TOKYOSEIMITSU(东京精密)、日本KOYOSEIKO(光洋精工)、德国G&N(纽伦堡精密机械)等,全球前三厂商占有85%的市场份额,国产厂商如华海清科份额低。减薄机TSV前减薄固晶机键合机FC、FO、2.5D/3D贴片机固晶机全球市场规模超20亿美金,ASMPT、BESI处于垄断。中国在IC高端市场的国产化率仅10%,国内厂商如华封科技、新益昌、快克智能等。美国库力索法K&S与新加坡ASM
Pacific是市场两大龙头企业,21年其市占比分别为61%与19%,国内企业为苏州芯睿。原有后道设备热压键合、混合键合根据SEMI统计,2020年中国大陆半导体全自动塑料封装设备市场规模约为20亿元,其中TOWA每年销售量约为200台、YAMADA约为50台、BESI约50台、ASM约50台、文一科技及耐科装备每年各20台左右。大陆企业市场份额占比不足20%。国内主要布局先进封装的是文一科技和耐科装备。模塑机压塑设备电镀设备清洗设备TSV、RDL全球市场半导体电镀设备主要厂商包括泛林集团、应用材料和盛美半导体等,全球前三大厂商占有大约40%的市场份额。主要有Screen(日本基恩士)、TEL东京电子、Lam
Research(美国拉姆研究)、SEMES(韩国)等日美韩企业。TOP4企业占据98%的份额国内清洗设备生产商有盛美股份、北方华创、芯源微以及至纯科技。TSV复杂结构&微小间隙清洗资料:电子发烧友,爱采购,Techsugar,华安证券研究所整理华安证券研究所39证券研究报告4.3新增中前道设备——光刻机•
在先进封装中,光刻机主要应用于:倒装(FlipChip,FC)的凸块制作、重分布层(RDL)、2.5D/3D封装的TSV、以及铜柱(CopperPillar)等。与在前道制造中用于器件成型不同,在先进封装中主要用做金属电极接触。此外,先进封装引入湿制程基本都会使用到光刻机。TSV打孔实现堆叠芯片之间的垂直互联互通,钻孔就需要光刻与刻蚀的配合完成;倒装Bump上,实现在芯片特定位置上电镀上凸点,也需要光刻把bump
球的位置打出来;在RDL图形转移和再连接,是通过掩模版,然后光刻机曝光将图形打到芯片面。•
根据SEMI
数据测算,2022
年全球集成电路用光刻机市场规模约180亿美元,中国大陆光刻机市场约30亿美元。全球高端光刻机市场的主要竞争公司为ASML、Nikon和Canon,从2022市场占比看,ASML、Canon、Nikon分别占据82%、10%、8%。ASML在超高端光刻机全面覆盖,且垄断高端EUV市场,在浸没式DUV设备(ArFi)、ArF光源DUV设备分别占95%、87%。Canon光刻机主要集中在i-line光刻机,
Nikon除EUV外均有涉及。种类原理特点国内企业布局结构最简单的光刻机。将掩模版
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 广东省花都区联安中学2025届中考生物四模试卷含解析
- 2025解除房屋租赁合同的注意事项有些
- 2025年工会集体合同范本
- 2024年度四川省公共营养师之二级营养师强化训练试卷B卷附答案
- 2025年油门项目可行性研究报告
- 2025水泥路面施工合同
- 2025标准的流动人员劳动合同模板
- 2024-2029年全球及中国膨胀机行业发展前景与投资战略规划分析报告
- 2022-2027年中国灵芝保健品行业发展监测及投资方向研究报告
- 2025公积金借款合同细则
- 第三方汽车物流运输合同(3篇)
- JJF(京) 3012-2021 触针式电动轮廓仪校准规范
- 抗体药物研发
- 2024年冀教版小学六年级上学期期末英语试卷及解答参考
- 辽宁省大连市2023-2024学年高三上学期双基测试(期末考试) 地理 含答案
- 安全环保职业健康法律法规清单2024年
- 语文版2024年六年级上册语文文言文阅读理解真题
- 2024年江苏省无锡惠山经济开发区招聘14人历年高频难、易错点500题模拟试题附带答案详解
- 快件处理员(中级)职业技能鉴定考试题及答案
- 2024年企业环保工作计划(三篇)
- 2023-2024公需科目(数字经济与驱动发展)考试题库及答案
评论
0/150
提交评论