数电课程设计-电子转盘实验报告_第1页
数电课程设计-电子转盘实验报告_第2页
数电课程设计-电子转盘实验报告_第3页
数电课程设计-电子转盘实验报告_第4页
数电课程设计-电子转盘实验报告_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

PAGEPAGE12第1章设计总体思路1.1设计总体思路根据设计任务书及老师的要求,将此次设计任务划分成四个基本模块。分别是译码驱动及其显示模块、计数模块、游戏控制模块以及时钟信号发生模块。1.2设计基本原理下面逐步介绍各个模块的硬件实现。一、译码驱动及其显示块的实现。用四个4个引脚的数码显示器,,需要用到74LS48来完成驱动。然后将74LS160的4个输出依次接入显示器4个引脚。二、10000进制计数器的实现。采用74LS160芯片进行计数,因为74LS160是十进制的计数器,所以采用同步并行进位方式就可以实现10000进制的计数器。即从个位起,将进位输出(RCO)接入下一个芯片的ENP、ENT端,依次接下去,即可实现10000进制计数器。三、猜数游戏控制电路的实现。将电源接通,闭合开关,游戏启动。用一个开关和74LS86异或门来实现游戏的猜数功能。选择1和0来表示猜数的偶数和奇数。用两个与非门搭一个SR锁存器,加一个按钮开关,再接一个与门用来控制游戏清零复位。四、时钟信号发生电路。实验台自带时钟信号发生器,只需要接入电源和地线即可。1.3各个模块之间的框架图根据前面的基本原理和总体设计思路,稍加整理就可以画出下面的框架图,它包含了总电路图中所有的模块以及各个模块单元电路之间的控制原理。图1-1模块控制框架图1.4总电路图LED显示管LED显示管译码驱动74160N*410000进制计数器启动/确定单双选择判断控制电路延时电路输出结果复位电路图1-1有了整体的设计思路,再加上对各个单元电路之间的控制机理的理解。借助Multisim10.0软件,首先画出各个单元电路,在按照图1-1中各个单元电路之间的控制原理进行综合,从而得到下面的总体电路图1-2。图1-2总电路图第2章单元电路的设计2.1译码驱动电路把74LS160的输入依次接到数码显示器的端口位置,如图所示:图2-2译码驱动电路图2.210000进制计数器的电路用4片74LS160实现一万进制的计数器。将4片74LS160直接按并行进位方式连接即得万进制计数器。图2-3所示电路是并行进位方式的接法,以第一片的进位输出C作为第二片的ET和EP输入,依此类推,每当上一片计成9(1001)时C变为1,下个CLK信号到达时下一片为计数状态,计入1,而上一片计成0(0000),它的C端回到低电平。第一片的EP和ET恒为1始终处于计数工作状态。图2-374LS160×4构成10000进制的计数器2.3猜数游戏控制电路用一个开关和74LS86来实现游戏的猜数功能。用一个电容来实现电路延时效果,采用一个按钮开关和SR锁存器来实现游戏清零功能。将74LS86(异或门)的1A端(输入)接74LS160的Qa端(输出),将1B端(输入)接上单双选择开关,即用1表示双,0表示单。将1Y(输出)接上一个与门,与门另一端接SR锁存器Q端,与门输出接显示灯。当猜数正确是显示灯亮,反之则否。即实现猜数功能。按下游戏复位实现清零效果。图2-4猜数游戏控制电路图2.4时钟信号发生电路实验台已有该模块2.4.1游戏结果显示电路列出猜数信号A及计数器最低位Qa和现实结果要求Y的真值表2-1,得到Y=A⊙Qa,画出电路图2-8如下。输入输入AB输出Y001010100111表2-1真值表第3章安装与调试第3章安装与调试3.1在Multisim10.0虚拟平台上进行电路图的初步设计本实验使用Multisim10.0软件来进行电路仿真。设计之前我们首先要识别软件中的各种虚拟电子器件以及了解软件中最基本的操作。在查找虚拟器件的过程中,最重要的是借助虚拟元件本身的帮助信息。这个软件本身的操作也十分容易,只要找到对应的元件库,放置在工作区域内,然后直接用鼠标点击就可以连接线路了。逐个连出哥哥模块的单元电路,然后按照控制原路,将各个单元电路连成总的电路图,检查无误后,就经行仿真,看一下是否达到了预期的目标功能,若不能,继续对电路进行改进。3.2实体电路的安装及调试步骤在实体安装中,依赖的主要文件还是用Multisim10.0软件仿真出来的电路图。从实验室领实验用工具箱和工具盒,还领取安装电路所需的芯片及相关器件。拿到这些设备时候,我们还是要先识别和检测这些设备和器件。工具箱中已经将数码管显示驱动模块做好了,而且也配备了时钟信号源,这些就大大调试和安装的工作量。为了方便接线,实验室给我们配备了面包板,经过好几次测试,才逐步了解上面紧凑针孔之间是怎么样连接起来的,而且也检测出了上面的几个小故障。由于使用的导线不是新线,所以在接线之前也做了测线这项工作。完成了上面的准备工作之后,下面就进行实体电路的安装和调试一、在面包板上面合理的安插芯片。在自己的仿真图纸上进行粗略的估计,初步定位各个集成芯片和门电路之间的相对位置,在面包板上相应的针孔位置小心安插上各个芯片。二、按图接线。在接线的过程中还是以模块为单元,安装好一个单元电路之后,要及时进行调试,这样可以缩小排故障的范围。10000进制计数器电路将4片74LS160芯片用导线进行并行进位连接。计数器的外引出来的针脚以及功能表,见下图3-1。图3-174LS160的管脚及其功能表接完成之后,我们在工具箱中昭告时钟信号源,接到芯片的2引脚,然后将2片输出端Qa、Qb、Qc、Qd接到七段字符数码管上面。接着,打开电源,检测计数器是否进行正常的计数。(2)游戏控制电路此步骤中,将74LS160的输出Qa和选择的开关放入74LS86(异或门)进行比较。接线如下:74LS86(异或门)的1A端接74LS160的Qa端,将1B端接上开关,将1Y接上一个与门,与门另一端接SR锁存器Q端,与门输出接显示灯。即实现猜数功(3)单元电路之间的连线。将相同模块的芯片之间的导线用短线相连,在协调各大模块之间的用到较长的导线。最主要的是要看清各个针孔的插孔,不要出现插错针孔的错误。当测试出插孔接触不良时,可以用到等位插孔来连接。第4章故障分析与电路改进4.1发现故障经过前面硬件的安装之后,下面进行整体电路的调试。虽然自己接的线路图和仿真出来的图纸基本一样。但是,调试结果却不尽人意,之后怀疑可能部分连线有断路的情况,于是逐一进行了调试并替换了一些导线。但是还是有严重的问题,游戏清零没用,后面静下来分析,找到了问题的原因,是开关接线方向的问题,纠正后可实现清零功能,电路也能完好运行。4.2电路改进初次设计的原理图没有延时控制系统,且游戏清零没用SR锁存器,在龚老师细心指导下之后,我们小组对本电路自身的特点进行了研究,通过电容充电放电实现延时控制。然后仔细研究了下SR锁存器,了解其功能,然后放到电路里,这样看来,实验电路更加完美。第5章总结与体会我们选的课题是电子转盘,在龚志鹏老师的细心指导下。首先我们认真听了龚老师的讲解,对该项目的预期目标、基本单元及实现功能进行了初步了解,然后便分组研究探讨。课程设计进行仿真的软件是Multisim10.0。在耐心地连好电路以后开始仿真,然而第一次连接就出现了问题,显示管的数字不跳动,我们仔细查看电路图后,发现计数器清零端未接高电平,所以一直是清零状态,所以数字不跳,更正后问题没了。但是我们停止电路后,发现没有延时效果,但是我们确定延时电路没问题,于是我们想到了可能是电容大小的问题,于是我们逐一更换电容大小,最终找到了一个合适的大小,使电路产生延时效果。我们费了好大的力,终于把游戏清零控制电路想出来了,电路仿真已成功,接下来是接实物图了。然后我们领取了实验箱开始接线调试。试验箱里电源、数码显示管、脉冲源基本的电路都有,我们的工作就是只要在面包板上边将电路的关键部分连接起来,并进行调试实现其类的计时的功能。我负责对四个基本的计数电路的接线和调试。连接电路的线很多,密密麻麻的,这也是考验我们耐性的时刻,当那么复杂的线被我们接好了后,真感觉我像个工程师。有时候线路虽然连接好了,但结果怎么都不对,仔细检查了线路仍没有发现任何问题,这时会让我们有些烦躁,认为实验台出了问题。但是事实并非如此,待我们冷静了一会儿之后,再次检查终于发现了差错,然后欢呼!总之,这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,但经过我么共同探讨,问老师同学,最终把问题解决。回顾此次课程设计,对我感触最深的是自已动手设计图纸,排查故障,现场接线排故,自主学习能力以及跟团队共同合作的喜悦。有志成为电子工程师的我以后可能会经历更多更大的项目,这次的试手让我对电子设计有了初步的认识并对此产生深厚的兴趣。不能不说,这次的课程设计对我以后人生发展起了铺垫的作用。我开始懂得了理论知识与实践操作的结合,懂得了实践的辛酸的同时也更加明白了世上任何事都不易的真理。无论我们从事何种工作,我们都需要一种踏实肯干的作风,认真研究虚心请教,有的时候我们必须沉下心来投入到设计之中,哪怕就为一个局部小电路功能的实现苦思冥想到深夜,当想出来时,内心的成就感让自己无限喜悦。经过这次课程设计让我明白世上无难事,只怕有心人。从一开始的毫无头绪到实现电路全部功能后的小有成就,让我感觉到了儿时的梦想不是乱说的—我长大要当科学家。2013年3月8日附录一电子元器件清单74LS160×474LS48×4LED显示管×474LS86×174LS04×174LS00×274LS08×1电容、电阻各1附录二参考文献1、《电子系统设计》

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论