DDS电子线路课程设计_第1页
DDS电子线路课程设计_第2页
DDS电子线路课程设计_第3页
DDS电子线路课程设计_第4页
DDS电子线路课程设计_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子线路课程设计姓名:学号:院系:电子工程与光电技术学院专业:电子信息工程指导老师:谭雪琴2015年11月摘要本次电子线路设计主要是设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器DDS。在此基础上,该DDS还具有清零、使能、频率控制、相位控制、数字显示、ROM空间压缩、ROM数据查找、多种波形输出等功能。直接数字频率合成技术是一项基于全数字技术,从相位概念出发直接合成所需波形的一种新型的频率合成技术。它很容易实现频率、相位和幅度的数控调制,广泛应用于通讯领域。本报告分析了DDS设计要求说明,整体电路工作原理的方案论证,还说明了各子模块设计原理以及后期工作中的调试、仿真、编程下载的过程。其中着重介绍了提高数据精度的ROM压缩设计,ROM查找表设计的实现和不用ROM产生多种波形的设计方法。在本次实验设计中用到了QuartusII7.0软件来辅助设计。关键字:DDSROM多功能波形发生器QuartusIIAbstractTheelectroniccircuitdesignmainlyaimsatdesigningafrequency-controlledandphase-controlledDirectDigitalFrequencySynthesizer,whichcanhavesineandcosineoutputs.Basedontheabove,theDDShasfunctionsofresetting,using,frequencycontrol,phasecontrol,valuedisplay,ROMcompression,ROMfinding,outputtingvariouskindsofwaveforms,andsoon.Directdigitalsynthesizertechnologyisanewkindofdigital-basedtechnology,whichusesthedefinitionofphasetosynthesizetheneededwaveformsdirectly.Itiseasytoimplementthedigitalcontroloffrequency,phaseandamplitudeandwidelyappliedincommunication.Thisreporthereanalyzesthedesigndemandsandthedemonstrationoftheworkingprincipleofthewholecircuit.Besides,thereportalsodescribesthedesignprinciplesofdifferentseparatedpartsandthelaterstageworkincludingdebugging,simulating,compiling,programming.Particularly,itemphasesindetailthewayofcompressingthecapacityofROMtoraisecomputationaccuracy,theROMfinding-tablerealizingmethodandproducingdifferentkindsofwaveformwithoutusingROM.ThesoftwareQuartusII7.0isusedasanauxiliarytooltohelpthedesign.Keywords:DDSROMMulti-functionalwave-formgeneratorQuartusII目录设计要求…………………....5方案论证……52.1直接数字频率合成器整体设计方案………………...62.2直接数字频率合成器整体电路………8基础功能模块设计………….93.1分频电路模块………....93.2预置与调节电路模块…………………113.2.1频率预置与调节电路模块……....113.2.2相位预置与调节电路模块………113.3累加电路模块………….123.4相位平移电路模块…….133.5测频电路模块………….133.6频率,相位和测频的显示电路…….…14四.附加功能设计…………….…..164.1扩大频率控制字范围功能………...…..164.2多种波形产生与选择功能……..….…..164.3节省ROM空间功能…………………..174.4节省空间及多种波形功能汇总电路…20五.调试编译,管脚分配及编程下载………..…..215.1调试编译…………….……………….…215.2管脚分配…………….….215.3编程下载………………..22六.实验总结与感想………..……..22七.参考文献………………..……..23八.附录……………………….238.1仿真实现的波形图(功能扩展后)………..……238.2Matlab程序……….……..25设计要求1.1、设计内容设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(DirectDigitalFrequencySynthesizer,简称DDFS或DDS)。1.2、设计原理直接数字频率合成器(DirectDigitalFrequencySynthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。设计基本功能利用Quartus=2\*ROMANII软件和SmartSOPC实验箱实现DDS的设计;(2)DDS中的波形存储器模块用Altera公司的Cyclone=3\*ROMANIII系列FPGA芯片中的RAM实现,RAM结构配置成类型;(3)具体参数要求:频率控制字K取4位;基准频率,由实验板上的系统时钟分频得到;(4)系统具有使能功能;(5)利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正、余弦两路波形;(6)通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证。1.4、提高功能(1)通过按键(实验箱上的Si)输入DDS的频率控制字,以扩大频率控制范围;(2)在数码管上显示生成的波形频率;(3)设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;(4)充分考虑ROM结构及正弦函数信号特点,进行合理的配置,提高计算精度。方案论证2.1直接数字频率合成器整体设计方案2.1.1DDS的概念直接数字频率合成仪(DirectDigitalFrequencySynthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。DDS具有相对带宽大、频率转换时间短、分辨力高、相位连续性好等优点,很容易实现频率、相位和幅度的数控调制,广泛应用于通讯领域。2.1.2DDS的组成及工作原理(以合成正弦波为例)图2.1.2DDS的组成及工作原理示意图(以合成正弦波为例)DDS的组成结构如上图所示,其主要由频率预置与调节电路、累加器、波形存储器、D/A转换器及低通滤波器组成。(1)频率预置与调节电路频率预置与调节电路实现频率控制量的输入,输入系统的不变量K被称为相位增量,也叫频率控制字。(2)累加器图2.1.2.2累加器示意图累加器由N位加法器和N位寄存器组成,在时钟作用下进行相位的累加。当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作。由此可知,DDS的输出频率为:(为基准时钟频率,N为累加器的位数);DDS输出的最低频率为:(K=1时);DDS输出的最高频率为:(由Nyquist采样定理决定,K的最大值为)。(3)波形存储器图2.1.2.3波形存储器示意图波形存储器进行波形的相位—幅值转换。以正弦信号为例,ROM的N位地址把的正弦角度离散成具有个样值的序列,ROM的D位数据位则将个样值的幅值量化为D位二进制数据。(4)D/A转换器图2.1.2.4D/A转换器(5)低通滤波器低通滤波器滤除生成的阶梯形正弦波中的高频成分,将其变成光滑的正弦波。具体过程如下所示:图2.1.2.5低通滤波器示意图2.1.3DDS核心单元电路示意图如下图2.1.3所示。图2.1.3DSS核心单元电路示意图2.2直接数字频率合成器整体电路如下图2.2所示。图2.2直接数字频率合成器整体电路图基础功能模块设计3.1分频电路模块(1)6分频电路利用计数器74160在QDQCQBQA=0110处异步清零,并取QC作为输出端。6分频电路如下图3.1.1所示。图3.1.16分频原理电路图(2)8分频电路利用计数器74160在QDQCQBQA=1000处异步清零,并取Qc作为输出端。8分频电路如下图3.1.2所示。图3.1.28分频原理电路图(3)48分频电路48分频电路就是将6分频和8分频电路“串接”起来,具体48分频电路如下图3.1.3所示。图3.1.348分频原理电路图3.2预置与调节电路模块3.2.1频率预置与调节电路模块由于频率控制字的范围与显示电路模块有关联,所以在此直接采用8位频率控制字(附加功能:扩大频率控制字范围),则显示的电路也是8位控制字。这里采用的是74161器件——模16计数器,将两片74161“串联”起来形成一个模256的计数器,即频率控制字的范围由16扩展的256。其中输入端“baochiK”用于置数,“qinglingK”用于清零。如下图3.2.1所示。图3.2.1频率预置与调节原理电路图3.2.2相位预置与调节电路模块设计原理同“频率预置与调节电路”原理相同,如下图3.2.2所示。图3.2.2相位预置与调节原理电路图3.3累加电路模块累加电路实现的功能是每当一个时钟脉冲上升沿(1MHz)到来时,将频率控制字补全为0000XXXXXXXX和12位相位数据相加,再把相加后的结果送至寄存器的数据输入端,并反馈到加法器的输入端进行下一次加法运算,实现累加功能。当累加器超出计算范围时就会溢出,完成一次周期性动作。累加器由3片7483全加器及3片74175寄存器组成,如下图3.3所示。图3.3累加原理电路图3.4相位平移电路模块相位平移电路实现的功能是每当一个累加器的相位结果传递过来(以1MHz的频率),将相位控制字补全为XXXXXXXX0000和12位相位数据相加,实现相位的持续平移功能。如下图3.4所示。图3.4相位平移原理电路图3.5测频电路模块测频电路的功能是测量并输出波形的频率,并可通过译码显示电路进行频率显示。测频电路由4个74160十进制计数器串联,以及4个74175寄存器构成。该电路的原理为:由于波形存储器存储空间为4096(也可以认为是一个周期被分为4096份),当累加器超出计算范围时就会溢出,在每个周期内会出现一次溢出,测量在1s内的溢出次数,便可获得输出波的频率。电路还需要频率为0.5Hz,占空比为50%的脉冲信号,信号为1时,计数器记录下1s内溢出的次数;信号由1跳变为0时,寄存器CLK通过反相器,记录下测量数据,与此同时,计数器清零,进行下一秒的继计数。频率测试电路能输出5位(十进制)数据,根据公式,最大的可测量频率为fc*K/(2exp12)|max=1000000*255/4096=62256Hz,因此测频电路满足测试位数要求。测频电路如下图3.5所示。图3.5测频原理电路图3.6频率,相位和测频的显示电路考虑到实验箱只有八个数字显示数码管,测频占五个,频率控制字K占三个,所以相位控制字P利用LED灯进行显示,直接将输出端按高低位接入LED灯即可(此处为二进制)。由于显示电路是十进制,所以需要用器件74160将数值转化为十进制。如下图3.6.1所示。译码显示电路的功能是实现频率控制字K和频率的显示。该实验需要8个数码管同时参与显示,因此采用计数器74160设计模8循环计数,其输出作为4片74151的控制端,又作为3-8译码器74138的控制端。模8计数器的输出端a、b、c控制四片74151同时选取对应位的一个输入,组成计时器某一位的BCD编码并接入显示译码器7447。与此同时,根据接入端的a、b、c,74138译码器也从8个数码管的使能端选择对应位有效,从而在对应数码管上显现一个有效数据。扫描的频率为1KHz,根据人眼的视觉暂留现象,会使人感觉到八个数码管在同时显示。如下图3.6.2所示。图3.6.1频率控制字K进制转换原理电路图图3.6.2频率控制字K和测频的译码显示原理电路图附加功能模块设计4.1扩大频率控制字范围功能详见3.2.1。4.2多种波形产生与选择功能通过控制输入端A,B端输入的高低电平进行波形选择,此处供选择的波形分别是相位可平移的正弦波,方波,锯齿波和三角波。如下图4.2所示。当然也可以根据各种波形幅值所具有的性质,产生波形图,如阶数可任意选择的阶梯波。图4.2波形选择原理电路图4.3节省ROM空间功能通过高两位的数据对低十位的数据输出方式进行选择,即可达到节省ROM空间的目的。对正弦波来说,当r[11]=0,r[10]=0时,r[9..0]及data[9..0]正常输出,即相位为时对应的幅值;当r[11]=0,r[10]=1时,r[9..0]取反(地址取反),data[9..0]正常输出,即相位为时对应的幅值;当r[11]=1,r[10]=0时,r[9..0]正常输出,data[9..0]取反(数据取反),即相位为时对应的幅值;当r[11]=1,r[10]=1时,r[9..0]取反(地址取反),data[9..0]取反(数据取反),即相位为时对应的幅值,正弦波节省ROM空间原理电路如图4.3.1所示。图4.3.1正弦波节省ROM空间原理图同理,根据各自波形对称的特性,达到相应的节省ROM空间的目的。对于方波和三角波而言,可以完全按照正弦波的设计原理电路来实现,只是把ROM所存储的数据更换成方波或三角波的数据即可,如下图4.3.2和4.3.3所示;对与锯齿波而言,则不仅可以节省存储单元,还可以缩短字长。当r[11]=0,r[10]=0时,data[9]=0,data[8]=0,r[9..0]及data[7..0]正常输出;当r[11]=0,r[10]=1时,data[9]=0,data[8]=1,r[9..0]及data[7..0]正常输出;当r[11]=1,r[10]=0时,data[9]=1,data[8]=0,r[9..0]及data[7..0]正常输出;当r[11]=1,r[10]=1时,data[9]=1,data[8]=1,r[9..0]及data[7..0]正常输出,锯齿波节省ROM空间原理图如图4.3.4所示。图4.3.2方波节省ROM空间原理图图4.3.3三角波波节省ROM空间原理图图4.3.4锯齿波节省ROM空间原理图4.3节省空间及多种波形功能汇总电路如图4.3所示。图4.4节省空间及多种波形功能汇总电路调试编译,管脚分配与编程下载5.1调试编译在文件编辑完成后,将文件设置为顶层实体;选择工具栏中“Processing→startcomplication”进行全编译,在编译过程中,若有任何错误,编译将会停止,则需要返回原文件进行编辑修改,修改后再次保存(若中途编译了其他文件,则需要再次将要被编译的文件设置为顶层实体),再编译直至文件通过。5.2管脚分配编译成功后,进行管脚分配,选择“Assignments→Pins”,在打开的对话框中的“Location”栏中选择相应的管脚填入,并将未用到的管脚置为三态(asinputtri-stated),管脚分配图如下图5.2.1和5.2.2所示。图5.2.1管脚分配图(1)图5.2.2管脚分配图(2)5.3编程下载在主菜单选File\Save保存文件,再次编译项目,生成.sof文件,以用于下载。在主菜单Tools选Programmer,选择Program/Configure,再选择Start,将程序下载到SmartSOPC实验系统中运行,检验结果是否正确。实验总结与感想相对于前一个课程设计——EDAⅡ,由于有了EDAⅡ“预热”,使自己对Quartus运用的更加熟悉,所以操作的速度也提高了很多,也避免了繁琐和冗余步骤的出现,使得整个设计在整体显得更加简洁明了。通过两周的课程设计,使得自己对利用Quartus来完成功能实现更加有经验,为以后更大规模的功能设计打下了夯实的基础。在实验中,较为困难的就是如何生成相应波形的mif.文件,包括节省空间的mif.文件,这里就涉及到了“量化”的概念。在了解了各自波形的特点,利用Matlab软件得到生成mif.文件所需的数据(在这里,就要懂得如何应用软件语言)。所需要注意到是,在本次课程设计中,把所有的数据“设置”成正数,即通过平移,翻倍对数据进行处理。需要着重说明的是,在进行设计节省ROM空间时,所需要生成的mif.文件中的数据时,应该更全面的分析波形数据的特点——正弦波,三角波和方波都可以利用同样的原理电路来实现(如图4.2.1);而对于锯齿波而言,虽然也可以沿袭前三种波的设计原理,但经过仔细分析后发现:由于锯齿波的波形是呈线性的,所以可以缩短字长实现节省空间的最优化。在进行测频功能的设计时,发现虽然理论上使用地址最高位r[11]或频率累加器的进位标志作为进位信号均可实现测频功能,但起初自己使用的是频率累加器的进位标志,却发现无法实现测频,但用地址最高位r[11]更换则可以实现,直至课程结束也没有能够从理论解决问题,所以只能猜测可能是器件问题所导致的。在利用Matlab软件来生成mif.所需的数据时,深切地感受到了掌握一门软件“语言”是多么的重要。当然,这里所指的软件语言不仅仅局限于Matlab,还包括VHDL在内的其他软件“语言”。所以,在以后

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论