交通灯控制器的设计方案与实现_第1页
交通灯控制器的设计方案与实现_第2页
交通灯控制器的设计方案与实现_第3页
交通灯控制器的设计方案与实现_第4页
交通灯控制器的设计方案与实现_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

<交通灯控制器的设计与实现>学生姓名:旭班级学号:133指导老师:实验3一.实验名称:交通灯控制器的设计与实现二.实验学时:6学时三.实验目的1)了解交通灯管理的基本工作原理.2)熟悉计数器/定时器的工作方式及应用编程。3)掌握多位LED显示的方法.实验内容设计一个用于十字路口的交通灯控制器。基本要求:A和B方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间.五。实验仪器1。PC机2。数字系统设计实验开发板实验步骤实验时序分析:本实验所设计的交通信号控制器,适用于在两条干道汇合点形成的十字交叉路口,路口设计两组红绿灯分别对两个方向上的交通运行状态进行管理。交通灯的闪亮时序关系如图1所示,当B方向的红灯亮时,A方向对应绿灯亮,而后由绿灯转换为黄灯,即B方向红灯亮的时间等于A方向绿灯和黄灯亮的时间之和。同理,当A方向的红灯变亮时,B方向的交通灯也遵循此规则。各干道上安装有数码管,以倒计时的形式显示本道各信号灯闪亮的时间。当出现特殊情况时,各方向上均亮红灯,倒计时停止.特殊运行状态结束后,控制器恢复原来的状态,继续运行。图1.交通灯的时序分析2。系统设计思路:整个系统设计如图2所示,该系统主要由分频模块、计数模块、数码管显示控制模块、交通灯控制模块以及显示电路设备组成。其中分频模块主要将系统输入的基准时钟信号转换为1Hz以及适应于数码管显示的的激励信号,驱动计数模块和两个控制模块工作。两个控制模块根据计数器的计数情况对交通灯的亮灭及数码管的显示时间进行控制。对于紧急情况,只需在计数模块中添加一个控制功能HOLD,当HOLD=1时,计数功能暂停。图2.交通灯系统模块图具体步骤3.1.根据实验要求作预习报告。3。2.建立工程,设计程序: 1)新建工程; 2)新建verilogHDL文件(注:文件名和模块名称要和工程名保持一致)。 3)调试程序:见实验附录3.3. 配置管脚: 参照实验指导中的管脚图,配置管脚。3。4.下载到开发板,观察实验结果,尝试创造自己的实验方案:3。5.完成实验报告.实验结果八.实验附录代码:moduleshiyan3(clk,led_dig,led_seg,ji);//led_seg0是a,7位是g1亮//led_dig从左到右0亮//20*10’6HZinputji,clk;outputled_dig,led_seg;reg[0:7]led_dig;reg[0:7]led_seg;integershu;regoneHz;reg[0:7]a;reg[0:3]ge;reg[0:3]shi;reg[0:3]ge1;reg[0:3]shi1;regxianshi;reg[0:9]jixianshi;reg[0:2]n;reg[3:0]l;reg[0:2]R;reg[0:2]L;always@(posedgeclk)begin if(ji)beginoneHz〈=oneHz;endelsebeginif(shu〈9999999) begin shu<=shu+1; endelse begin shu〈=0;oneHz<=~oneHz; end endendalways@(posedgeclk)beginif(jixianshi<999) begin jixianshi〈=jixianshi+1; endelse begin jixianshi<=0;xianshi<=~xianshi; endendalways@(posedgeoneHz)//当红绿黄灯循环交替一次所用时间为50s,设置变量a控制循环;beginif(a==0) begin a〈=50; end else begin a<=a—1; endend always@(posedgeclk)begin//用四个数码管显示A,B方向时间倒计时if(a<4) begin shi<=0;//根据a的循环A方向的十位数码管倒计时显示0 ge〈=(a+1)%10;//根据a的循环A方向的个位数码管倒计时显示5-0; shi1<=0;//根据a的循环B方向的十位数码管倒计时显示0 ge1〈=(a+1)%10;//根据a的循环B方向的个位数码管倒计时显示5-0; end elseif(a〉3&&a〈25) begin l<=a—4; shi〈=l/10; ge〈=l%10; shi1〈=(a+1)/10; ge1<=(a+1)%10; end elseif(a>24&&a〈30) begin shi〈=0; ge〈=(a-25)%10; shi1<=0; ge1<=(a-25)%10; end elseif(a>29&&a<51) begin shi<=(a—25)/10; ge<=(a—25)%10; l〈=a-30; shi1<=l/10; ge1<=l%10; endendalways@(posedgexianshi)begincase(n)0:beginled_dig〈=8'b01111111;case(shi) 0:led_seg<=8’b11111100; 1:led_seg<=8'b01100000; 2:led_seg<=8'b11011010;endcaseend1:beginled_dig<=8'b10111111;case(ge)0:led_seg〈=8'b11111100; 1:led_seg<=8’b01100000; 2:led_seg<=8'b11011010; 3:led_seg<=8’b11110010; 4:led_seg<=8’b01100110; 5:led_seg<=8'b10110110; 6:led_seg〈=8’b10111110; 7:led_seg<=8’b11100000; 8:led_seg<=8’b11111110; 9:led_seg〈=8’b11110110;endcaseend 2:beginled_dig<=8’b11110111;case(shi1)0:led_seg〈=8’b11111100; 1:led_seg〈=8’b01100000; 2:led_seg〈=8’b11011010;endcaseend3:beginled_dig〈=8'b11111011;case(ge1)0:led_seg<=8’b11111100; 1:led_seg〈=8'b01100000; 2:led_seg<=8’b11011010; 3:led_seg<=8'b11110010; 4:led_seg〈=8’b0110

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论