基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析_第1页
基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析_第2页
基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析_第3页
基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析_第4页
基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析1、引言FPGA是新型的可编程逻辑器件,能够将大量的逻辑功能集成于单个器件中,它所提供的门数从几百门到上百万门,符合系统芯片(SOC—SystemOnChip)的发展要求,具有高度集成、低功耗、硬件升级等优点,可以满足不同的需要。随着电于技术和传感技术的不断发展,使得可编程逻辑器件在现代数字系统和微电子技术应用中起着越来越重要的作用,本文主要研究利用FPGA器件和MAXPLUSⅡ工具软件设计温控定时喷灌系统。文中还涉及到模数转换器ADC0804、温度传感器AD590的应用。2、系统的硬件结构温控定时喷灌系统的硬件结构如图一所示,由数字温度表和FPGA两部分组成。数字温度表测量范围为0一100℃.AD590温度传感器的感测能力是,温度每升高1K就增加1μA的电流量,该电流流入1OKΩ的电阻后,将会产生1μA×1OKΩ=10mV的电压。而00C(等于273K)时,输出电流273μA,流入1OKΩ的电阻后,产生273μA×10KΩ=2.73V的电压。如果测到电压为XXV,则可由公式(XXV-2.73V)÷10mV得到要测的温度。温度传感器AD590串接1OKΩ的电阻,然后经一个运算放大器后,将电压引入ADC0804的Vin(+)管脚。ADC0804是8位模数转换器,测量精度为0.02V,当ADC0804的转换值为XXH时,所测温度为T=(XXH×0.02V-2.73V)÷lOmV=XXH×2-273。3、FPGA功能模块的设计FPGA器件设计采用自顶向下的设计方法,将任务分解为三大功能模块,最后将各功能模块连接形成顶层模块,完成整体设计。三大功能模块可用VHDL语言编程实现,也可通过图形输入法设计,FPGA是系统的核心,本系统选用了Altera公司的EP1K30TC144-3芯片,在MAXPLUSⅡ开发平台上,实现三大功能模块:数据处理模块TDATA、时钟模块clock、喷灌控制模块CONTROL。完成三个子模块的设计后,用图形输入法形成的顶层设计如图二所示。3.1时钟模块用图形输入法形成的时钟模块clock如图三,其中包括两个模60计数器cntm60,一个模12计数器cntm12,它们输出的信号分别对应时、分、秒的各位。时钟模块亦可由VHDL语言编写,该程序比较常见,这里省略。3.2数据处理模块数据处理模块TDATA完成温度数据的处理,实现T=(XXH×0.02V-2.73V)÷lOmV=XXH×2-273的运算,将接收到的转换值调整成对应的数字信号,在读取到ADC0804的转换数据后,先将转换数据左移1位(相当于数值乘2),然后减去“100010001”(273的二进制表示)。当温度大于某一数值时(如25℃),数据处理模块TDATA输出的使能信号和清零信号为1,它们作为时钟模块的输入端,控制时钟是否开始计时。主要程序如下:(为了结构清晰,对部分内容进行了删减)libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitytdataisport(data:instd_logic_vector(7downto0);t:outstd_logic_vector(8downto0);en:outstd_logic;clear:outstd_logic);endtdata;architectureaaoftdataissignaldatain:std_logic_vector(8downto0);signaltin:std_logic_vector(8downto0);beginprocess(data)begindatain《=data&‘0’;tin《=datain-“100010001”;if(tin》=“000011001”)thenen《=‘1’;clear《=‘1’;elseen《=‘0’;clear《=‘0’;endif;endprocess;t《=tin;……3.3喷灌控制模块该模块的输入信号来自数据处理模块和时钟模块的输出,当温度大于等于某一数值时(如25℃),喷灌控制模块的输出信号控制喷灌开关自动打开一定时间(如2小时)。主要程序如下:(为了结构清晰,对部分内容进行了删减)……beginprocess(hour,t)beginif(t》=“000011001”andhour》=“0000”andhour《=“0010”)thencontrol《=‘1’;elsecontrol《=‘0’;endif;endprocess;……4、仿真本文设计的VHDL语言程序已在MAXPLUSⅡ工具软件上进行了编译、仿真和调试,通过编程器下载到了EP1K30TC144-3芯片中实际测试并获得了满足设计要求的结果。数据处理模块的功能仿真结果如图四所示。当转换数据为95H,计算所测温度为95H×2-273=25℃,仿真结果正确。喷灌控制模块的功能仿真结果如图五所示。可以看到,当温度大于等于25℃时,喷灌控制模块的输出信号控制喷灌开关自动打开2小时。5、结论通过以上对温控定时喷灌系统的设计,看到应用FPGA器件和EDA技术,不仅缩短了系统的设计周期,还减少了系统体积,提高了系统的可靠性。具有设计周期短、设计费用和风险低、功能灵活的特点。本文给出的设计思想也适用于其它基于PLD器件的系统设计。本文设计了基于VHDL语言的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论