vhdl课程设计报告_第1页
vhdl课程设计报告_第2页
vhdl课程设计报告_第3页
vhdl课程设计报告_第4页
vhdl课程设计报告_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

一、设计目的本课程设计的目的是熟练掌握相关软件的使用和操作。能对VHDL语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。在成功掌握软件操作基础上,将所数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。深入了解VHDL语言的作用与价值,对用硬件语言设计一个电路系统开始具备一个较完整的思路与较专业的经验。对EDA技术有初步的认识,并开始对EDA技术的开发创新有初步的理解。二、设计内容及操作1、设计循环彩灯控制器1.1设计内容设计一个循环彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。要求红发光管亮3秒,绿发光管亮2秒,黄发光管亮1秒。1.2程序设计LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcaideng_2ISPORT(clk:INSTD_LOGIC;red,green,yellow:OUTSTD_LOGIC);ENDENTITYcaideng_2;ARCHITECTUREexampleOFcaideng_2ISSIGNALdout:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALm:STD_LOGIC_VECTOR(2DOWNTO0);BEGINred<=dout(2);green<=dout(1);yellow<=dout(0);PROCESS(clk)ISBEGINIF(clk'EVENTANDclk='1')THENIF(m="110")THENm<="001";ELSEm<=m+1;ENDIF;CASEmISWHEN"001"=>dout<="100";WHEN"010"=>dout<="100";WHEN"011"=>dout<="100";WHEN"100"=>dout<="010";WHEN"101"=>dout<="010";WHEN"110"=>dout<="001";WHENOTHERS=>dout<="000";ENDCASE;ENDIF;ENDPROCESS;ENDARCHITECTURE;1.3仿真波形图1.4波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,当clk信号处于高电平(高低电平可以根据自己所设计的情况自己定义),红发光管最先亮灯(高电平表示亮灯),时间为3s,3s之后绿发光管开始亮灯2s,2s结束黄发光管亮1s,以此循环亮灯,直到仿真结束时间。2、设计两人抢答器2.1设计内容两人抢答,先抢为有效,用发光二极管显示是否抢到优先答题权。答题结束后,按复位键可重新抢答下一题。2.2程序设计libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityqiangda_3isport(reset:instd_logic; player:instd_logic_vector(1downto0); out2:outstd_logic; fail:outstd_logic; out1:outstd_logic);endentityqiangda_3;architectureexampleofqiangda_3issignala:std_logic_vector(2downto0);beginout2<=a(2);out1<=a(1);fail<=a(0);process(reset,player)isbeginif(reset='0')thena<="000";elsecaseplayeriswhen"00"=>a<="000";when"01"=>a<="010";when"10"=>a<="100";whenothers=>a<="001";endcase;endif;endprocess;endarchitectureexample;2.3仿真波形图2.4波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,复位信号reset处于高电平有效(高低电平可以根据自己所设计的情况自己定义),抢答信号低电平有效。当Play0先抢答时有效,则Out2输出有效,Out1输出无效。当Play1先抢答有效,则Out1输出有效,Out输出无效。当两人同时抢答则视为无效,fail输出为高电平。按复位键抢答重新开始。3、设计交通灯控制器3.1设计内容交通灯控制器:用于十字路口的交通灯控制器。要求:东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s。当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。3.2程序设计LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYjiaotongdeng_10ISPORT(clk,INT:INSTD_LOGIC;red,green,yellow:OUTSTD_LOGIC);ENDENTITYjiaotongdeng_10;ARCHITECTUREexampleOFjiaotongdeng_10ISSIGNALdout:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALm:STD_LOGIC_VECTOR(3DOWNTO0);BEGINred<=dout(2);yellow<=dout(1);green<=dout(0);PROCESS(clk,INT)ISBEGINIF(INT='1')THEN--有紧急情况(如消防车)时dout<="100";--红灯常亮ELSIF(clk'EVENTANDclk='1')THENIF(m="1010")THENm<="0001";ELSEm<=m+1;ENDIF;CASEmISWHEN"0001"=>dout<="100";WHEN"0010"=>dout<="100";WHEN"0011"=>dout<="100";WHEN"0100"=>dout<="100";WHEN"0101"=>dout<="100";WHEN"0110"=>dout<="010";WHEN"0111"=>dout<="001";WHEN"1000"=>dout<="001";WHEN"1001"=>dout<="001";WHEN"1010"=>dout<="001";WHENOTHERS=>dout<="000";ENDCASE;ENDIF;ENDPROCESS;ENDARCHITECTURE;3.3仿真波形图3.4波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,中断信号INT高电平有效。根据题目要求遇到紧急情况(如消防车)中断信号高电平有效,红灯常亮,绿灯黄灯熄灭。正常情况下时钟周期是5秒,INT信号无效,红灯,黄灯,绿灯的持续时间分别为25s,5s,20s。三、设计总结与心得本次数字系统仿真与VHDL课程设计的课程设计的设计任务是将本学期VHDL硬件描述语言与数字逻辑电路设计和上学期所学的数字电路中所学的知识运用的实践中去,提高自己在理论与实践的相结合能力,进一步掌握本专业的各项知识,为以后的专业学习打下良好的基础。从一些基础掌握一些本专业所学的知识了解一些基础的实验工具如quartusii的使用,本次的设计基本达到预期的效果。过这次实训,我们在实践中学会了很多在平时的实验中无法学到得东西。将使我们在以后的工作和学习中受益匪浅。四、参考书目[1]、李辉,《PLD与数字系统设计》,西安电子科技大学出版社,2005[2]、阎石,《数字电子技术基础》(第四版),高教出版社[3]、候伯亨、顾新,《VHDL硬件描述语言

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论