基于USB的数字广播电视信号数据采集系统的设计与实现_第1页
基于USB的数字广播电视信号数据采集系统的设计与实现_第2页
基于USB的数字广播电视信号数据采集系统的设计与实现_第3页
基于USB的数字广播电视信号数据采集系统的设计与实现_第4页
基于USB的数字广播电视信号数据采集系统的设计与实现_第5页
已阅读5页,还剩42页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

分类号:单位代码:密级:学号:硕士学位论文中文论文题目:基于USB的数字广播电视信号数据采集系统的设计与实现英文论文题目:TheDesignandRealizationofDataAcquisitionofDigitalBroadcastingTelevisionSignalBasedonUSB申请人姓名:指导教师:专业名称:研究方向:所在学院:行论文肥提交旺日期嘱中国子传媒摆大学终研究渗生学勉位论扇文独裕创性才声明锈本人炮声明邻所呈罗交的溪学位鸦论文鼓是本搜人在怜导师居指导携下进充行的歇研究肉工作阁及取葱得的灶研究划成果谷。除剩了文碌中特紫别加笼以标樱注和诵致谢预的地绕方外捉,论寒文中涌不包竿含其吧他人远已经劣发表固或撰揪写过恋的研帝究成伞果,咱也不励包含苏为获馒得困中国冶传媒僵大学败或其脖他教鸽育机任构的帆学位负或证故书而房使用贩过的舟材料尾。与慎我一愁同工可作的蕉同志他对本工研究奋所做恳的任列何贡吐献均来已在嗓论文吵中作慢了明绍确的奉说明得并表芹示谢极意。若学位学论文据作者舟签名肉:拐签字适日期验:欢年形月坊日巨学位扯论文姑版权帖使用邪授权浇书充本学睡位论佣文作叮者完坊全了照解夜中国挑传媒振大学吗有权猾保留笛并向舌国家欧有关烦部门克或机截构送龙交本驼论文吼的复串印件贼和磁锁盘,衣允许醉论文晃被查忘阅和怪借阅场。本督人授财权限中国泡传媒迎大学腥可以养将学搭位论池文的鞠全部侍或部柱分内辉容编咸入有击关数哨据库粉进行戴检索污和传捷播,灯可以期采用斥影印袋、缩去印或助扫描厉等复府制手犬段保妥存、弄汇编邮学位鼓论文医。萍(保乳密的慎学位希论文孔在解福密后慨适用宏本授害权书遵)迎学位嘉论文漂作者弄签名窃:携导师体签名隶:往签字泪日期叉:描年迟月头日蚊签字菊日期寺:扁年照月洋日酿致睛谢弦摘辣要蚂目前锐在我自国存邪在多保种数泪字电军视广伸播标房准,裳如数撇字地岗面电劳视(加Di赤gi择ta坑l盈Te竟rr谁es穴tr搜ia顺l女Mu路lt良im公ed塌ia赞B烂ro陷ad茎ca宴st鸦in崖g井,惯DT辰MB旅或爬DM骂B-阶T/舍H窄)、煎中国服移动横多媒畏体广芦播(鬼Ch票in伐a栋mo笼bi愚le安m普ul模ti的me师di惑a弱br查oa践dc桐as锈ti资ng衣,栗CM挣MB尊)、酸从欧符洲引寻进的贪数字雕音频箱广播披(瘦Di钉gi奏ta温l迷Au绍di拨o每Br贞oa忌dc兼as尿ti鸦ng框,乒DA鸣B捆)等感标准冲。这矿些标糟准在粪中国竞市场肢的推纲广与逮应用精过程厅中,房很多紧场合飞需要亲对所矩发射词数字促信号洗进行侵采集忠分析恢,以恋衡量贞数字捐信号病发射闷质量素。传漠统的羽数据塔采集撒系统百接口辉一般单采用俭并行荒接口舱、织IS厦A漂接口访、击PC去I览接口尊、落PS核/2扯接口防、谅RS软-2兰32秋和购RS谷-4难85喷串行透总线位等方线式,势这些盾方式艇存在丈扩展便性差困、不征支持唉热插康拔,勒内置颜式接絮口易准受高耀频信悉号干锁扰等纵诸多熔缺陷兴。而溪采用犁US腰B炒接口元的数揪据采赵集系金统则创能很口好的求解决尘上述原问题这。献本课硬题旨铜在提短出一订种基痒于袭US拳B2合.0锤和下DD粒R执SD波RA款M征的海全量数锈据采弹集系比统的粒FP捉GA教解决窗方案帽,并六详细可阐述称了系秩统方爽案设牢计思基路及搏应用族实施量方法离。该容方案符根据奴实际寇需求届,泡可应辰用于虑多种铸标准届下的子广播叹电视剖信号等接收斥前端氧,携自带方嗓便,狡功耗涨低,姓适用侨于信姜号数合据的贱现场执采集斗和存泄储。既【关附键字颤】狐数据课采集读,境US僵B倡,躺F己PG犬A奸,饲D拢DR星S木DR搂AM透AB贪ST暗RA判CT溜Th驻es漂e脉da滴ys灯m总ul厌ti明pl岸e豪st钻an企da天rd趁s南of搂d喊ig魔it梳al酱t象el素ev誉is塞io间n茶an储d答br谨oa诞dc谅as俱ti税ng慈a单re膨e慰mp秋lo攻ye柿d蚁wi右de巷ly昌i待n饿Ch杨in索a,戴s院uc我h雷as赌损DT跌MB渡(模Di思gi终ta郑l螺Te造rr线es筐tr沈ia辽l幅Mu秀lt具im持ed招ia勾B碎ro俗ad轻ca前st券in街g)乳,笛CM搏MB锁(C陕hi枝na杜M蝴ob馅il透e丢Mu屠lt房im诉ed神ia史B墨ro撒ad晓ca摄st冲in催g)非a失nd饲D泥AB像(D骨ig装it洽al宇A醉ud原io直B联ro磨ad峡ca趟st盈in车g)诸b耍ro霸ug年ht奇f梁ro缓m抄Eu恢ro营pe冈.润Du虽ri攀ng年p延us梅hi杰ng宿t壁he购a数pp刷li猛ca趋ti促on很s余of狱t兄he惹se攀s晕ta叶nd疏ar取ds乏f父or陕wa团rd饲i针n采Ch吹in彻a踢ma茧rk册et晓,沟it示i低s赛ne伏ce尼ss独ar最y分to阶m揪ea新su助re点t裳he骡q迟ua敌li裹ty仪o宿f按tr婚an鸭sm营it贞te畏d泪di孝gi葛ta膛l岔si放gn狭al糕s小by偏m评ak批in夕g漆th由e复an铸al厅ys富is染o猎f雄th临em仅.嗽Tr停ad俩it永io邻na本l壁in束te供rf托ac某e遣of宪D土at喊a康ac董qu奸is崇it性io厕n活sy消st哥em槐e第mp普lo桐ys膊p急ar紧al搞le匆l抽in潜te扑rf而ac没e,衣I袋SA匠i疼nt婆er诸fa叛ce趣,扰PC桨I特in揭te月rf拨ac粉e,素P帜S/腥2硬in胶te净rf甚ac确e,丝R歇S-刑23逼2蹄an腔d谋RS座-4泪85很s蛮er前ia昂l辨bu距s承co挠mm孝on低ly后.倾Tr虚ad赔it留io贫na尊l弊in龙te圆rf哑ac疲es孕h距av卡e发lo妻ts杂o穴f渐di形sa欣dv岗an纹ta损ge域.银Fo贵r钟in型st便an你ce肚,饶th传ey赖a杏re坑m宏os腿tl偶y互d在ed愈ic偿at归ed胜,坚do湾n'轻t吓su裳pp常or卸t期ho拥t哈pl端ug木a赚nd冻e昼as震y弄to竿b设e做di息st撤ur嘉be真d选by瓜t锐he李h避ig辅h-鹿fr足eq晒en峰cy燥s太ig城na阅l鞭fr标om穗t死he宁c欺ha筋ss银is念.雪Al震l已ab温ov般e熟is绣su召es杆c楚an叠b雄e境re伏so贵lv摘ed姐b何y惧em望pl纱oy衡in盾g懂th片e吉da伐ta庙a商cq交ui搅si拜ti弃on小s毅ys崭te塑m权wi蹲th嚼U构SB聚i遵nt洁er泻fa掏ce奴.你Th铺is鹿s垫ub刘je号ct鬼i淘nt晕en周ds度t赖o算in鸦tr去od俭uc放e眠a从so爹lu尘ti裹on疾o许f约ma奏ss算d场at疾a烧ac疲qu判is河it变io伴n宣sy纪st亲em汇.育It袄i翼s夏re素al聪iz率ed斜o纳n吴a绒FP序GA纯p砌la蜜tf妨or送m垄by赛u颜si冶ng咱U乏SB竟2.农0影an轻d啊DD递R散SD纷RA巴M捧te缝ch勉no捕lo挪gy相.擦Ac厌co朵rd做in沿g旨to侨a窗ct较ua惨l久de爪ma什nd摄s,身t妈hi丸s碧da发ta项a仓cq陡ui叮si撇ti赴on做s宗ys竭te老m誉ca抄n飘be叔a素pp防li约ed杀t启o狗th份e壮fr坚on盆t-诵en饺d仔re重ce脖iv翻er幅b筐as悉ed音o跌n专mu并lt松ip或le么s蛛ta枝nd眉ar号ds闪o层f姜di灰gi洽ta恭l每te大le腔vi浆si席on否a丙nd康b视ro吹ad胡ca度st惊in骨g.约I小t饼ha界s骨lo市ts葛o研f危ad式va厅nt景ag怜es驴s呈uc毅h款as神e么as虹y蚂to千c傍ar步ry蠢,弟lo地w箱co跑ns狠um西pt装io有n.熟I店n奔su希mm完ar掉y,诱i尺t竟is丸a部de暗qu衰at阁e孙fo梯r扮me绵mo校ri奇zi辞ng荒s晓ig叔na植l超in企t烦he渡f奸ie关ld骑.徒【解Ke公y听wo塔rd室s鸦】喷D眨at野a托Ac待qu旺is遭it缎io害n,鼻F随PG活A,没U采SB矛2.需0,狸D谅DR次S染DR截AM私目谢录湿致标谢婚I仔摘克要冤II央AB樱ST耽RA趁CT鲜II碍I颈1.若绪论病1象1.桐1煎选题蜜背景歪1残1.英2匹选题拘依据娱2香1.犬3株论文诞内容怖及结警构拾3丛1.改4斯本章屯小结恰3崭2.亲数据芒采集具系统捐的总钢体设馋计方倒案燥4仁2.壤1它射频厦前端小技术坛概述羡4外2.棕1.观1皇MT陕V8奏18真芯片圣5贿2.袄1.柳2费自动羊增益狮控制茫6步2.随2况FP樱GA垂技术柏概述怒8森2.透2.谎1程FP粱GA懂简介主8逐2.跨2.丧2甚Cy刷cl茫on比e虾Ⅲ简狸介沾9书2.挽2.肌3幻FP中GA脱芯片渣选型硬12袋2.舌3该US说B流技术志概述后12创2.按3.黄1阁US残B咽总线暗结构忘12攻2.乒3.托2上US疮B眯数据蜂传输今类型青13栗2.情3.拌3景EZ毛-U驰SB友F指X2仿LP揉简介框14画2.粱3.虏4鄙Sl潜av诵e爷FI玩FO拘模式技的硬蓝件结芝构忽16膛2.持3.巧5爪片内认I2心C残总线关控制繁器特怖性窄17蓝2.蜜4阳DD调R挡SD谅RA帐M角技术翼概述瓶17克2.矿4.宇1捐DD游R纪SD舍RA祥M汤时序汤介绍敬19拦2.语4.菜2邻DD苹R塌SD折RA债M森芯片释选型睛21片2.范5素本章解小结职21竹3.纤硬件叛接口蜂设计榜23抗3.肆1叼Tu亭ne喝r报芯片键外围哗电路稿24逗3.茶2准FP看GA合资源搅分配鹿及配印置电路路竖25主3.启2.促1荡I/玩O听管脚魄分配松25邪3.案2.梦2酿电源血管理月25宗3.狐2.滤3事配置墙模式灭26某3.龙2.削4房时钟吨分配松29碰3.熄3限US这B2昼.0伪芯片紫外围乓电路套30欺3.改4控DD水R宗SD食RA领M参芯片会外围崇电路花31就3.槐5宵电源踏电路批33们3.吧6水本章围小结辱34愁4.纱PC列B殿La丈yo连ut董设计独36旨4.防1絮层数免的选领择和谷叠加疾原理称36猎4.运2裳阻抗静匹配诸原理竖36析4.膜3俩La席yo更ut君设计众37笼4.联3.掏1鱼射频中前端稻37右4.则3.写2秆US惩B承高速鼻接口遮37获4.动3.涂3诸DD等R教SD钟RA胆M案38铅4.辜4锡本章苦小结芒39唤5.涂FP诵GA彼控制唐器软肝件设揭计察40谣5.从1围FP彻GA压设计复流程片介绍跟40推5.槽2梁Qu雅ar剑tu烫s引Ⅱ筒简介恨40霞5.抱3端Ve意ri味lo伴g荣硬件富描述排语言佛41挤5.胳4队FP视GA恩系统脚模块猜设计昼方案流42竟5.龙4.沿1盲时序描分析宅42踏5.担4.开2岁FP兰GA圾系统禽设计弯方案索43眠5.掠4.称3帆预处策理模碍块孕43南5.生4.剩4证US鱼B何接口察模块阵47骑5.局5任本章拼小结还50坊6.稀Sl厉av寒e难FI第FO搭的固卵件设感计才51绪6.已1碧Sl峰av竟e敌FI援FO杠固件皱基础游51姑6.散1.挺1掀固件屿FI相FO咳管道翅51霉6.勇1.售2糟端点浪FI筹FO牧51肤6.前2屡Au漆to终I乏n原模式员52浑6.皱3做Cy畏pr毛es书s屠开发居工具偶52泊6.疮4朵本章觉小结吐53治7.滤总结卵与展电望扫54班参考箩文献眯55幻附录暮1帅U胃SB怠数据吐采集岩卡傍PC陪B境板图疏57吼附录递2樱U望SB舍数据管采集领卡实旋物图处58绪论谦选题式背景移随着尝数字膀电视工技术悦的发泉展,雕数据苦采集贱系统舟(遣Da足ta蚁A瓣cq额ui角si明ti疼on屿S蛾ys鸡te么m葡,腥DA爹S燥)解作为亏在国贡内外黑得到气了广芽泛的绕应用起,域人们价对数馒据采探集系币统的惑要求皂也在灰日益哭提高搭。是数据可采集锁,是喷指从往传感稻器和山其它务待测剧设备麦等模舞拟和府数字留被测蒸单元勒中自虚动采没集信助息的残过程禾。数遮据采非集系拣统是灿结合雅基于轮计算杏机的贩测量率软硬艇件产叫品来杏实现沿灵活泼的、寇用户规自定菊义的充测量呆系统排。解数据薪采集挽系统蛾主要搬是盼通过狭一定自的硬勾件接棒口完钻成数非据采秆集,及然后亦通过块计算肥机的促外围篮接口息将采部集的滔数据丙送入困计算叫机辜或则雷其他尖处理五器兽进行咬下一粮步的逐处理游。务在一民个系损统或啦产品棵进行超现场薄测试跳的过彻程中稍会有世大量创的实义时数冻据需姻要采葛集与巴存储壮,然业后要慌对采庭集的蹦数据由读取岁到计桥算机效或其步他设咱备上片进行拔数据原分析桑。正亲是基乳于这没样的毫需求工,数挖据采娱集系为统主咸要在价两个酱方面竹提出净要求谊:一详是与议计算舱机之正间简办单灵东活且捆传输皮速率抛高的肥接口谣;二换是数朱据采柱集系释统能终够实牛现实伏时、膛高速鸦、海窝量的经数据肺采集次。弱数据初采集档的传届统接押口一掩般采础用并翻行接圆口、刘IS赴A恐接口疏、深PC对I博接口致、玩PS孙/2毯接口腾、骄RS龙-2塘32湖和垄RS说-4药85羞串行轿总线警。蛋这些舒接口设被广侄泛应首用到吹计算么机上咏的同栏时,群也存玻在很拌多缺估陷。米比如扎,这消些接鲜口大碎部分注都是擦专用蜘的,显各自要有各俩自的今接口禽协议温和连奏接器描,给贞用户俩带来线了极敲大的玻不便途;蚊扩展侮性差绢、不壁支持刘热插晋拔励;内谊置式肝接口服容易钱使数塑据受萌到妥PC太机箱著内高飘频信轧号的类干扰线影响念,从刷而降菊低系匀统的狮采样始精度烈和稳晒定性臣;占色据了猴机箱遮和主迁板的暗很大灾面积捧,不倡利于奥计算炭机的狡小型具化。鹊在传稠输速宅率方册面,茎PC住I尤总线冷有较免高的屑传输利速率赖,可原达泉21韵12交Mb诊ps幅,也宰可以他“即原插即总用”丢,但挨是它晨们的杆扩充震槽有诊限且劲插拔黎不方舰便;苹RS忽-2无32简串行担总线睛连接斩比较奉方便仔,但培是传许输速悄率太取慢了炊,不座易于肢高速童传送唇数据螺。获因此拾需要筝一个粱新型迫的计渠算机欢接口予来解垫决这益些问具题。答对于表广电呆行业妻来说途,很波多场骂合都定需要分用到伴数据乐采集袄系统款,比关如回拨放数论字广妨播电斧视节波目,琴衡量鱼发射鲜的信笼号质疼量等阿。密目前挥国内乖较为纤普及屿的广御播电观视蓝信号锄标准佣有很晚多,畏比如扶有案DT植MB锻、踪DA跟B法与忆CM棋MB应等将。诱由于奶各个闹标准孕在发放射频京率和踏占用凶带宽辞等指闪标上口不尽犹相同衫,如竿表按1-寒1蹲所示召,肤因此举研究败如何眯高效与实时巡的接乳收各咽种标创准下速的广傻播电董视信删号泻具有厅重要碑的实楼际应酒用价赢值。作表缎1SEQ表\*ARABIC\s1乓1触数字铺广播含电视叮标准联部分脑参数窗比较新[1创][炒2]声参数齿\椒标准扫CM胶MB悲DT曾MB慰DA鹿B参发射膛频率仁/M削Hz填S垮波段乳(沈2.险63氏3-枯2.扑66盏0G响Hz听)和杀UH怜F刊(姥47办0-柏79厅8M羽HZ锯)司UH充F勿波段堆(持47振0观MH皱z美-8哄06沫M肾Hz廊)权VH梢F笛3睛(心17障4充-馆23侄0M犯Hz姓)及事L-修ba厕nd刊(球14艘52拣-陶14组77神MH例z撑)急北京叼现在目使用仔的是虚20赔8.屠72缩0-偶-2非13宗.8馒56殊MH华z赶占用买带宽印/昏MH执z吼8京MH纳z覆(联标清兵)慌,阔2染MH句z压(嫌QV亿GA恳)刑8靠MH秘z粱(兽标清慌、高乳清助)疲2械MH根z抢有效沈带宽飞/M板Hz歪7.乱51寺2M云Hz虫,调1.稿53哀6M巴Hz锤7.亲56罩MH滑z备1.稀53辩6M接Hz兼最大灿净荷权量遍/M痛bp救s颈2.秒04找6-录16眉.2牺43逗Mb讽ps吵4.资81巨3~但32防.4俱86举Mb亮ps嫁2.佩43朴2M老bp芬s夫其他罗灭、懂PD币A票、盼MP染3叶、提MP雾4搂、数丘码相挤机、字笔记疼本电足脑多锦种移钱动终织端帅均可乎接收碧固定干电视偶和支鸭手持某等便惨携式辜移动售终端钢设备你均可糖接收刑面向浊便携复固定伞移动粘接收巴选题唤依据伪本课箩题旨业在提多出一声种在康FP葵GA雕平台戴上实院现并嘴且基愁于坚US骂B2认.0第和语DD贿R早SD筐RA践M甜的海江量数杜据采光集系乱统的神解决泽方案既。该档方案沫根据京实际雪需求吗,可拌应用内于多狡种标辞准下往的广叛播电功视信刘号接缝收前吼端,评且携阵带方济便,岁功耗棉低,庄非常途适用喇于现缺场采高集和赶存储看信号箭数据逗。努19播94屈年促11嫂月,息US识B蛾(家U良ni夺ve掠rs架al踪欣S扇er描ia叙l两B束us锡)虏规范陵由葱Co键mp抹aq俱、至Di学gi秒ta午l横Eq谅ui茎pm席en央t恋、壮IB沙M页、蚕In虎te酒l挨、嚷Mi痰cr滚os吃of轿t当、掉NE才C梁和崇No另rt嫩he比rn引T娘el页ec隆om想等克7驴家公鉴司共百同提莲出。柏US穴B颠接口毯技术帜的出胖现完缴美地播解决毅了传补统接眼口存慌在的柔问题茧。目欣前,下US羞B铺以接缝口体央积小串巧、悲支持断热插心拔、括即插恨即用最、兼式容性饶好、坦节省播系统闲资源湾和成茧本低尚等优送点迅紧速普殖及开钥来。嘉US焰B黎接口遣技术掀支持付三种泉数据走传输膊速率跟操作的,包既括低伸速舌1.潮5M牙bi稀t/脑s起、全晒速肆12该Mb傲it叠/s属和高凝速晴48丧0M裁bi舍t/乱s所。这迅样便击于不幻同的六外部冷设备唤选择使合适颂的数仍据传忽输速抄率来竭实现肃。荐传统例的肤SD藏RA长M木采用畏一种爸单倍捆数据下速率丹(示Si帜ng虫le裕D作at槽a肾Ra鸦te沈,愚SD蜡R亏)工的结忙构执渡行访梢问操篇作。呀它在笨每个低系统猛时钟玉周期催的上碗升沿兄传输惭数据合,因征此柱SD刷R籍SD就RA返M痛的带击宽等礼于其违时钟忆频率月乘以携其数煎据总武线宽淋度。压为了拢增加查总线匠带宽丢,如衬果使炭用传滤统的所方法闹,要肚么增蜂加数权据总省线宽果度,慈要么纯提高销其操员作频植率。互DD与R步SD柱RA死M枣(针Do交ub想le拿D对at浊a氧Ra讽te典S护DR澡AM丑,蹄DD颂R旱SD标RA寸M扔)欲的出膛现使泪在既咏不增服加数歌据总娘线宽薯度也驾不提赚高工精作频看率的恨条件项下,访增加机了总今线带欲宽。味根据贪JE战DE爆C谣制定洲的标焰准,淋DD答R缴SD葬RA继M啦最高潜时钟竿频率朝可以煌达到茂20省0层MH谨z扮,其凡极限桃传输总率为荣3.猜2G安bp熟s遇[3仇]寇。蚕随着逃微电妙子设析计技璃术与召工艺课的发掏展,胡数字誓集成切电路意从电脸子管宴、晶抬体管附、中哈小规致模集恼成电艘路、壶超大冷规模狸集成合电路倚逐步信发展过到今拜天的沟专用挎集成亿电路素。竖AS范IC牢的出非现降趁低了盖产品跌的生攻产成色本,陡提高篇了系前统的岔可靠颗性,热缩小掏了设米计的沈物理咬尺寸洪,推队动健了社必会的蓝数字塘化进右程。东但是蛇AS苦IC裙因其两设计房周期项长,张改版牌投资阻大,妻灵活饶性差殊等缺摩陷制芝约着党它的样应用培范围邪。可钩编程盯逻辑营器件违随着栏微电茄子制养造工蒜艺的挪发展碌取得泛了长座足的疼进步楼。随咏着工野艺技箩术的筋发展绳与市医场需立求,己超大独规模笔、高惑速、吓低功迹耗的等新型事FP辨GA僚/C静PL渔D顺不断售推陈绍出新棋。新衰一代吓的圆FP显GA疮甚至药集成等了中织央处们理器选(比Ce沾nt鸡ra礼l类Pr竿oc组es座si远ng通U畏ni属t峰,演CP嚷U的)或誉数字描处理您器(脱Di再gi数ta超l义Si日gn刺al兵P调ro率ce把ss污,白DS脑P惧)内相核,稍在一袋片矮FP铃GA脂上进哄行软翁硬件债协同羽设计喷,为谅实现骨片上槐可编师程系兵统(捧Sy霸st差em假-o时n-缸a-抓Pr五og翼ra页mm地ab谨le近-C然hi跟p狂,蚀SO仔PC幅)提迈供了浆强大桐的硬堵件支里持。嗓目前词,国汤内外妇企业伟已经驶推出蚀了很荐多能次适应练不同茧条件哑,不细同精痛度要处求的低U煌SB蠢乘数据矛采集趴系列惕产品耗。圆其中我比较盲典型恼的是局美国象国家畜仪器治有限制公司宣(柜Na寨ti陈on搁al努I肯ns沸tr港um嫁en性ts逼,墨NI舰)研岗制的绍一系品列冠U任SB宣数据许采集臣卡,抓如奥N蚊I稻推出食的盆US裙B-垄60易08逐低价扮位多泥功能冬数据授采集你卡恭,它锁是一巴款举US幅B邮总线械供电载多功行能素D骑AQ殿(爸Da烤ta叨A医cq胶ui葬si效ti乡on观,锯DA恭Q购)腥模块扯,该旦模块晕提供壁1醉2姜路模肿拟输伏入,牌采样朴精度胶1郊2朵位,潮单通揭道采辰样率开为罚1恼0K睬Bp废s心。陕国内镇深圳挽的肌华强精电子罚研发裤的旦US距B玻数字柄电视崇接收煮机,也该产裹品支破持涝US它B1桨.1陈/2垮.0龙数据今传输筑接口喘,支银持数扬字电槐视视弃频广唇播及已I番P哭数据霜接收句。适测用于逝学校罢、医胶院、王机关砖、电私影院观、等预公共坛场所醒接收排无线意教育主和无阿线传卡输的怒加密梦数字干电视仅广播施节目香和数刚据欺。朽论文鲁内容栗及结锣构龄(惑1首)较重点睛介绍返本数衫据采斗集系时统的让芯片厨选型况和硬砍件设燃计方暮案,扒根据塞设计奶方案纪,绘独制电沿路原些理图蛙和笨PC练B容文件护,并贤最终和焊接塌调试韵系统齐的硬筝件平哀台;叉(亭2戒)处Tu汇ne壶r膏部分磁的驱挤动控金制;敌(厦3狗)浓FP勾GA团部分碧的帝Ve篮ri塔lo他g难H悲DL铸代码逢设计跑;搁(傅4僵)招US交B2汪.0末部分不的固咽件程亡序和汤驱动游程序雅设计影基础端介绍责;倘(乘5闯)彩在硬帐件和伙软件确平台膛均搭吐建好逆的情缠况下其,进鸽行软跪硬件上系统倾联合宁调试各,并剪且实位际测型试系冒统的穗工作何情况播。斑本章拘小结挖本章遵主要度介绍垂了不过同接保口的皮数据携采集的技术东所面坑临的疤问题浩,叙多述了咏几种日数字随广播乔电视副信号敢的基达本参妄数,抓进而培谈到棒本课设题的文研究各意义奉,最炕后确况定了汉课题版的研瓜究步垒骤及烟论文索的内锄容安敌排。洁数据丛采集坡系统吉的总围体设若计方筋案挺本课知题基族于疑US惧B严总线膊接口名,集符接收爸、下狡变频嫁、数盾据采慕集、补海量谦存储鹿于一讲体,庭专门若研究幻应用馆于舅DM贝B-霉TH斜、崇CM锯MB肾、才DA格B监标准机下的楼数字生电视脚广播翅信号字的数竿据采市集简系统缩。可筝接收奴的信悦号频湖段有泄UH叨F弦、谜VH银F3骄和你L鱼波段孟。逢另外传,本催课题历还将循对接觉收信密号强赵度指五示的坝实时塌监控世和调皇谐芯没片内疯部寄译存器元的在餐线配哈置进霉行研刘究,沙帮助欢用户叹轻松坊方便呼的对旬不同细频道混上的故数字阻电视桂广播娇信号锤进行央数据却采集徒,同蜂时还排可以侧实时宣获取单当下患频道赠的信诸号强伶度指给示。沫本数鹅据采乞集电匠路主责要分凯为下醋变频再、断FP蛮GA更数据浴预处淡理、圆DD坑R患SD面RA组M道接口孔、抬US宣B2概.0敲接口顾四部优分。罩该系焰统工符作流慈程如闯下:疾利用所天线幸接收笔空中促的数杂字电磁视广萄播信嘴号,堡信号顿经过脊调谐马芯片限直接避下变独换为央零中装频信粉号,茫输出柄10甲bi葬t考的丰I/纱Q产数字斗基带山信号杰。揭FP领GA往对基疏带信宾号做症预处兵理之勒后,公将信国号送榨入异催步摧FI溉FO巷模块络,由旨FI奶FO烧汇集舟批量抢的数蚊据挡送至系外挂匹DD尿R飞SD株RA妙M祝芯片逆内。溉然后莫再由签FP涂GA今控制防DD讽R恼SD逢RA绢M忌将采姓集数付据读够出,贴通过舍US喷B2野.拦0搬控制略芯片腾将数索据送块至计刷算机裁,让挨计算长机上她的应肚用程旗序对蹦信号趴数据垫进行拳保存侦和处穷理。坑其中迅,用榆户通羞过应剧用程粗序配近置相享应的券参数芽,落PC静机通伍过周US窄B测接口灰将控为制信蜘号传柱给谢US奖B嗓控制核芯片乔,昆US炕B彩控制莲芯片勺利用状I2研C药总线伯对调尖谐芯蛋片内余部寄乐存器迁执行重写操周作,些从而纤实现颤软件膀对硬娇件电删路的赴配置剂功能量。起此外企,林US舅B甜控制民芯片帮利用狭I步2C肯总线臂,还拢可以断在线音读取迫调谐葡芯片雁内部评寄存授器数得值,独然后掀数据案经过咸US米B铃总线匀传输捷到祖PC兰,窑PC乐可以痒通过靠应用主程序盐实时鲜计算胜出据RS圣SI码显示放给用歌户。毛图恳2.坝1店为本浊次设神计系捧统框忆图:概图STYLEREF1\s而2醋.SEQ图\*ARABIC\s1皆1冬系统过方案掏框图扶射频牙前端惑技术哗概述化射频厨(靠Ra死di铸o财Fr回eq晨ue魔nc罗y至,蚊RF拢)辛前端兴的主掀要功束能是疾接收冤小功桥率的观RF草信号启,然难后将判其下谋变频渴为一惠个复垒基带简信号令(包梯括同娃相和玉正交修分量痰,祝I/淘Q骑)破[4蛾]固。在托这个迹过程熊中,协要尽都可能作多地线滤除怜不需携要的限信号抱;对权RF身信号恨进行域变换逃,使锯频率素、电屡平与尤模数幻转换寺器(锣An只al毫og腾to宫Di倘gi外ta仙l击Co警nv梨er殃te臂r赠,闯AD全C拐)捧相匹答配。倦对射口频前默端的辜基本汽要求题是长:贸首先阅,引滋入的蛮噪声校要原尽可猴能地加小(秋噪声耳系数译小)韵;其慢次,围信号内的适禁应能单力宁要宗尽可孝能的莲强(访工作刚频段偶宽、饱动态练范围倦大)像[5李]侨。范MT颂V8捡18她芯片横RA经ON越TE纹CH片是一笛家专弹为移珠动电棵视提肝供射亮频调除谐器捉解决预方案严的公神司。炸由于钥采用料了酷CM销OS末技术叉,其覆RF衣IC薯/S棵OC鼻性能茎得到纤了进猎一步蚀提升羡,尤轰其是已在低焰功耗就方面臭更是辛有不国俗表病现。摸该公垦司的剧解决寇方案万被完扰美的爽应用筛在移酒动便皂携式洽产品西中,让如手内机,摩笔记返本和轿车载瘦等。顶MT厉V8夜18衬芯片范是谱RA岔ON柔TE棚CH货的一仍款高阶集成掌度的元SO董C五(窗Sy牙st汪em根-O边n-灾Ch搞ip抵)射惯频接浑收机剧。这椒款芯股片虽在然体狗积小暗巧—五—宗5*阻5m而m朗,梦QF莲N蝶封装枕,但动是其叙内部怒却是列由射炕频前喝端和弄解调暮器两复部分合组成典。同此时这候款芯非片也拿支持寿多种队接口奴,如截I2滤C铃,绢TS完IF裹,衣SP蚊I轿,克HP菠I姿和勺EB昏I2妹,使麦其在砍与外愿部设玉备通爸信时概更加甚灵活捏。另备外,打MT届V8拘18忆内部爱还集凡成了洽DC就DC米转换睁器和懂LD贫O神,使株用户孤在供倒电模疫式上妥有多吩种选葡择,劲同时骄这也础节省捷了芯孙片的困外围咏器件价。日MT呆V8牌18锣芯片灿灵敏竹度好低,动笛态范昼围广袭,线输性度属高,亿功率于消耗叮低,晕最重丙要的徒一点药,其猾支持电多标册准接拿收,掩接收若信号塘的频误段包坡括划FM暖、祸BA顺ND摇-3客、童UH返F妖、仆L-川BA亏ND注,可盆谓是笛集成同度非蕉常高获的一衰款芯摆片,催有利馅的节架约了橡电路渔板的赤面积悬。图楚2狮.执2蜡为证MT传V8恳18扭内部余的功疏能框街图孤[阅6搬]潮:颈图STYLEREF1\s猾2旱.SEQ图\*ARABIC\s1暮2毁M拔TV办81铺8借内部状结构职框图续MT割V8妈18回共有搂4易个输丰入通普道,妻这四刊个输押入通练道共堡用一饮个功输能模袍块。头RF漂信号坏首先纹经过丙一个狼低噪断声放激大器翁(袜Lo迹w掀No立is旷e困Am别pl衣if娱ie烟r践,亚LN引A辱),环用于区对小恒信号辱的放颤大,响然后竭再经坚过一足级镰RF底协自动钩增益岗控制电器(斗Au沸to病ma择ti进c帜Am剧pl氏if物ie熔r巴Co喉nt图ro引ll闻er想,玉AG束C限)进砍一步饲放大耳信号仅到一爬个合纷适的溉电平尤值上安。此毫时芯竖片内问部的努PL为L祖将外毫部晶危体送宴入的安时钟良倍频也产生葡一个录本振诸(饿Lo侦ca赏l搜os岔ci玻ll彩at碎or玩,量LO努)。爱混频厨器将桐RF即与疮LO泉进行所混频带,然省后产切生盐I/织Q顿两路死零中披频信靠号。栗此时膀因为既会产护生谐揪波,王因此摔需要拿再通继过一普级低杰通泻滤波资器(红Lo追w窑Pa着ss烟F堆il以te厌r吩,寺LP裤F来),既然后挺信号挨被送作入到六内置息的永AD理C帅中,膊输出和两组功10洽bi踢t纵采样絮率为笼20既MH疲z奇的数候字信三号。摔后面造的解道调部贱分是孝该芯邮片针巾对其手他数迹字电尿视广借播标弹准制脸定的苗,在续这里卖使用苍不到临,故堆不作匹详细凉介绍母。我皮们必桃须得报用一雷种总疑线对功Tu伞ne提r该内部树寄存躁器进状行初揉始化丧和配恩置,辛其配斥置流赏程如累图偶2.分3哪所示消:俗图STYLEREF1\s努2逝.SEQ图\*ARABIC\s1够3纱M评TV简81坚8三初始滚化流彼程殃自动蝴增益财控制拴对于刘那些希输入炒信号形在一俗个很予宽的逢动态部范围丰内变关化的西系统叉来说画,访AG辆C毒能够咬使输许出信足号保浊持在波一个批相对昆恒定虾的电蓄平上悼,这嗽样一泥来,茅AG胖C傅后续唇的电晋路的泪动态洞范围腔就不盆需要惕很宽旺了。盈增益拔控制刺通常验分布聚在好忧几级够上,鸡后级老的放箩大器喷(如肝中频趁放大嫩器)阶首先魂减小崭放大仪倍数墙,如蝴果减塌小的倾不够蔬,前擦级的爽放大外器(肃如低梁噪声烤放大现器,颂射频极放大宿器)墨再继宅续减猫小放位大倍锹数。拼这样胁做的傍目的啊是为觉了确鸣保有坦用信形号的慕电平么足够其高从订而获登得较荒高的粒S/盾N纽。如液图仓2.唉4哭所示鸣,当继接收诱机的摧输入宰信号沃的强发度在虹范围排内(题Vi前1~嫌Vi结2客)变涝化的研时候全,一败个籍AG栗C改电路柱可以饥为解初调器结产生雕大体暮上保斩持恒杆定电凉平的当输出兵信号爷。当哪输入燥信号什很微序弱的揪时候福,固AG缝C勉是无侦效的奴,输舱出信透号和蛮输入垂信号付呈线康性关瞒系。腰当输妨出信握号电四压到齿达一偿个阀阔值(排Vo捞1拳)时元,螺AG户C功开始网工作施,使胀输出嘉保持粗一个阵恒定扣的输乱入直阻到到帜达另服一个剩阀值街(扩Vo时2误)。绘在这侍个阀抢值上救,坏AG泳C萄再次龄不工滚作。辜这种虹普适触的做任法可案以用免来保煌证在关高增豪益的惜时候蒜信号侦的稳魄定性要问题震[酒7兰]笛。柔图STYLEREF1\s妇2将.SEQ图\*ARABIC\s1威4续A横GC些折线债图雹MT篇V8参18临内部章一共贡有驱3述类族AG喜C角,分拣别是触LA斗N的AG慨C当,直R慢F构AG惊C隔和铲BB怒A航GC抽。其回中植BB警A州GC默又分假为坚Di意gi蔑ta毅l冈BB丘A轧GC消和听An蒸al董og菌B钥B逢AG柱C骆。这扒些枕AG执C节在芯坐片内华部的驳逻辑棚顺序膀如图面2.柔5稀所示雷。邪图STYLEREF1\s波2灶.SEQ图\*ARABIC\s1态5熔A丛GC袄逻辑颗顺序学框图种RF弄A歼GC享定和柜LN邀A惧AG耳C传工作桐在自竭动模哑式下浮BB按A纪GC甚工作嗽在手土动模蒸式下唇,图导2.缩6兽描述铜了摧BB司A馒GC膨的控豪制流小程:府图STYLEREF1\s芳2锄.SEQ图\*ARABIC\s1紫6卧AG纺C宿控制部流程仔图照当驳Tu太ne绩r亦向解待调器呼传送谢数字外I/呀Q冲信号薄用来硬进行缎下一都步处吃理的乌时候匀,解折调器源内的马检测网器会叉检测且到当缘前鞠I/编Q蔽信号抢的强熟度,介然后麻将检佛测到登的结星果告穗诉比周较器仿。比暂较器暖比较洒当前厦信号怎强度汗与参溪考信故号强摸度的耗大小活,然砖后把收它们舟的差辛值传幅送给敏I2叔C君控制宜器,蜘由潮I2欣C腿控制脑器决配定是细增加业还是降减小资BB性A必GC特的放员大倍扮数,保最后雀控制商器将俊数值拢通过竿I2握C霉总线芬写入锁到编Tu怒ne趴r稍内部萝管理缸BB像A句GC霸的相泽应的川寄存使器中杨[姜8攀]俊。行这些舒AG唤C碗之间犁的调倡节关里系如杠图托2.说7恒所示零。怕图STYLEREF1\s盯2出.SEQ图\*ARABIC\s1盖7涨A狼GC趋控制述逻辑雁其中者IQ冤_H隐、玻IQ按_L易为当颂前输幕入信栋号的柱最大副电平倦值和桥最小摆电平蓬值,搭Re躲f_日L柄和贼Re验f_圣H枣组成不了后税端解役调器城的可乖接收保信号馋的动灵态范必围,偶BB羽_R蜘ef划L怎和柿BB杰Re宏f_剩H螺组成肠了借BB双A查GC喜的参饶考调允节范物围(打只有雾当帜RF拥A臂GC围和短LN听A煎AG棕C烧均达封到最隙大脱值或东者婶最小旦值时具,物BB需A页GC瞒才会慎被调惩节到膜极值谅,否呢则它岂都是冻在蛇BB凤_R代ef锅L~欧BB姨Re凭f_碗H跑的范盈围内究工作以的)航。歼FP起GA乱技术唤概述右FP萄GA输简介耐FP吩GA酒(碰Fi越el盈d格Pr寺og线ra尝mm浙ab唯le耳G塘at航e脱Ar尸ra送y免,妨FP楼GA辉)即亿现场挑可编飞程逻死辑阵接列。度FP项GA歪是在梅CP稼LD宽的基剧础上许发展绪起来科的新猪型高述性能扔可编闹程逻疲辑器淘件,辱它一算般采筝用蛾SR醋AM蓄工艺渐,也混有一圆些专币用器杯件采尾用涨FL凡AS团H柴工艺它或反舰熔丝霜(婶An冲ti劝-F壶us类e丈)工毯艺等贴。眨FP颈GA压的集玩成度站高,自其器革件密乡度从夏数万秘系统助门道纸数千待万系防统门疾不等弹,可恼以完污成及难其复干杂的邮时序毅与组缸合逻竖辑电命路功币能,赔适用导于高特速、亮高密线度的考高端皂数字凯逻辑熊电路乳设计罗领域恶。帝FP篮GA悟的基估本组召成部另分有换可编口程输区入统/供输出剧单元甩、基踏本可耳编程布逻辑缘单元定、嵌光入式重块粮RA伙M贞、丰礼富的况布线睁资源雪、底奥层嵌拔入功浊能单绳元、凡内嵌驾专用桨硬核劣等。幅FP灭GA犯的主乳要器留件供膝应商状有驰Xi撕li际nx像、坊Al协te察ra真、煎La悬tt京ic紫e攻、读Ac葱te毫l昆和贷At偿me室l闷等杨[胞9娘]骄。其抹主要失特点扒是完浪全由深用户考通过津软件沃进行辽配置童和编裳程,富从而恋完成戏某种诞特定咏的功蚊能,塔且可肚以反掠复擦糟写,樱修改杠和升雹级猛FP属GA须不需创要额岗外改胁变日PC碑B光电路闻板,刷只是费在计张算机并上修案改和镜更新目程序棋,使杀硬件数设计烛工作厚转化她为软土件开愈发工晴作,陵缩短妥了系凭统的傍设计由周期粗,提疾高了刮实现割的灵泳活性外并降倚低了世成本应,因鸽此获起得广陈大硬湾件工级程师纱的青竹睐迎[中10瓶]律。肺简化夏的间FP居GA馆基本笑由讲6脏部分束组成披,分婚别为辉可编岂程输委入过/膏输出坦单元屯、基块本可驳编程鞋逻辑袄单元林、嵌匠入式塔块犁RA筐M喇、丰令富的斯布线醉资源晋、底哗层嵌景入功粗能单役元和雨内嵌妙专用闲硬核璃等甲[9通]翁。矩Cy奋cl贵on遥e众Ⅲ境简介职低成饲本奋Cy乓cl倚on遇e宜Ⅲ街F初PG孩A孩是谢Al爆te叫ra潮Cy磁cl葡on册e汪系列桨的第袖三代牲产品额。轻Cy衬cl届on遥e戚Ⅲ值

F双PG掘A辟系列屈能够棒较好耻地辈实现址低功劳耗、稍低成舍本和购高性阔能悟。走Cy宝cl合on雀e役Ⅲ家系列喜包括当8沉个型邀号,嫌容量俊在余5K灯至结12胀0K姓逻辑庆单元棋(烦LE霉)塔之间毅,最么多副53些4脂个用勒户蜡I/肿O伴引脚宫。如众图蛋2.舌8厅所示铺,肆Cy扔cl品on役e己Ⅲ南器件暖具有帮4-蚂Mb膀it详嵌入塞式存网储器阳、畜28嫌8籍个嵌定入式秆18赴x1餐8姥乘法茅器、至专用水外部延存储淹器接魂口电码路、壁PL孙L圆以及砌高速恨差分般I/番O基等料,图和2.灰9注给出霞了吼Cy培cl喜on领e田Ⅲ臣FP发GA签的体网系结题构图绩:舟图STYLEREF1\s园2撤.SEQ图\*ARABIC\s1惧8库C货yc箱lo网ne号Ⅲ晌F术PG咬A偏简介夺图STYLEREF1\s具2莫.SEQ图\*ARABIC\s1惠9秒Cy番cl评on带e银Ⅲ甩的体猛系结华构图岂除此缩之外帽,它换还具考有以伯下特链点瓜[冶11景]搭:瓜采用第低功唱耗6巾5n越m工梳艺技便术生走产;异25捎摄氏丹度结啦温时塞,内虚核静掠态功烫耗低朴至3劲5m唤W;扮支持岭热插调拔工装作,拜未使哄用的忘I/捧O块果在没现有电耐流时符将被慎关断旗;屯低功保耗的男优点亿包括钳系统丈散热术管理姥,消溪除或忽者降致低了毕制冷症系统阔的成惕本,骨延长甘了便森携式筋应用首中电谎池的赤使用罩寿命莲;项交错替I/疾O环惹减小恩了管县芯尺妹寸和招电路泽板面所积;橡低成据本封艇装选它择;史支持址低成验本串席行闪膛存以宜及并碑行闪怖存配逮置器阀件;猾Cy味cl钥on孕e侦系列灯FP斧GA英从根戒本屠上针朗对低谜成本精进行捏开发腹;挣和覆Cy测cl仆on引e坐Ⅱ泊F挨PG侵A相项比,搅密度苏提高千1.蹄7倍井,达祥到1剧20济,县00阶逻辑虚单元店(浊LE尊)尘,嵌寻入式吵存储说器容蚊量提批高3载.5矩倍,辅达到鄙4M安bi餐ts锯;榜强大屑的时裂钟管编理和皇综合巧技术绞,具宝有灵形活的茅动态典可配拍置逐PL怨L链;第可调竖整I赖/O贡摆率飘,提贡高了朱信号奥完整园性;劳支持仁高速拢外部催存储否器接无口,怎包括群DD铜R、翅DD犹R2自、S指DR绑S策DR茄AM纽和Q址DR递Ⅱ教S煎RA鞋M,举其自诊校准市PH灰Y可糖快速迈实现云时序崖逼真臣;繁支持共I/作O标货准包讨括L郑VT浊TL备、L墓VC名OM恶S、惩SS配TL街、高吉速收短发器块逻辑惊(H炼ST胃L)视、P许CI烦递Ex细pr章es亲s捆、L溜VP贯EC乏L、留LV姓DS何、m过in睡i-这LV去DS如、低刃摆幅扫差分液信号元(袜R元ed握uc竞ed影偶S俘wi辨ng批煌D蝶if烧fe丝re魔nt觉ia拾l妨S询ig涨na夹l键,寒RS峰DS顾),材以及欺点对富点差纳分信红号等艘。详限细的经I/功O接驳口速兼度参军考图跳2.币10赛:版图STYLEREF1\s雨2殿.SEQ图\*ARABIC\s1贯10团Cy晒cl语on后e近Ⅲ炸接口鱼标准施图鱼2.计11脏介绍动了什Cy胖cl捡on鄙e乌Ⅲ铃FP谅GA纲的惊PL尝L谦和时挪钟网拜络兼[坡12曾]卫:界图STYLEREF1\s推2贫.SEQ图\*ARABIC\s1嘴11运Cy球cl格on伴e播Ⅲ历时钟买网络扭Cy狭cl狱on斯e诉Ⅲ现FP谢GA始内部汉有箭20榨个内哑部全沈局时犁钟网理络,辰可以埋由全搜局始退终管龙脚休cl庆k0帝~c劈lk绢20吃、复勾用的值时钟慢管脚挨DP摆CL缠K0贞~D南PC起LK色11陵、翼PL半L轰或者命是内绳部逻陆辑来礼驱动横。拳Cy肃cl壶on季e裂Ⅲ庸F窑PG紧A前中的钓PL暑L掠只能灯由全搭局时刻钟网案络管报脚讲cl油k0古~c碌lk扛15患来驱究动。风一般不情况鸡下,美一个吊PL晕L养的输颠出可形以驱迁动拴5诱个内笨部全裤局时协钟网藏络和锹一个惹I/佣O向管脚槐。当劫两个袭PL灵L颂级联科的时壁候,汽一个饮时钟责源最讨多代可以懂驱动穷10批个全需局时停钟网验络和侨两个雁I/洪O涌管脚摧,如愁图击2.犬12休所示戒。条图STYLEREF1\s叛2宿.SEQ图\*ARABIC\s1缓12乓PL满L著级联肤示意摧图睬Cy幕cl职on吨e霉Ⅲ丸的柱PL粱L瑞支持盗3搂种反狡馈模哄式:触正常鞭反馈祥模式后、摇0便延时春驱动视器反苗馈模践式和劲无补啊偿模扬式校[9辩]遵。袋正反渔馈模牧式:门在该东模式国下,艘内部度被补洲偿的鲁时钟磨网络依的末熄端相叙位与弓时钟守输入箩管脚股同相学位。喉0宝延时挎驱动套器反刻馈模撑式:欠在这猛种模碑式下份,劈PL信L核外部籍的被采补偿神的时毁钟专扮用输医出管控脚的秋相位奖与时斗钟输价入管岂脚同被相位载。这公时的寸FP柱GA漫内部倒的易PL朵L损就好音像是打一个隶0裂延时凝的构PL掀L上电路救。希无补射偿模籍式:角这种原模式散下,烤反馈塘回路校中没换有任轨何补疮偿延洋时电捎路,清内部浙时钟字和输拜入时部钟的贺相位轿关系雪就是拴由犬EP虏LL叙的基恭本特遭性决殃定的锈。转Cy副cl扁on内e悦Ⅲ音系列约充分香展示毙了溪Al粮te棒ra糊在低午功耗晌F饼PG耽A雾上的茧领先麻优势垃。结召合最轻全面游的体勿系结特构和面芯片钟增强岩技术蛙,以皇及最持新的衬半导晒体工馆艺技坝术和每为客李户提横供的盛完整井的功椒耗管拉理工迁具,膏与届9组0n盾m欺Cy下cl湾on见e驼Ⅱ循F匹PG目A摘相比幅,功肝耗降特低了抖5芽0冻%。裳对于认类似童本次级设计盲的宣便携的式的疮设备怜来说殿,筹降低姨可编果程逻访辑器所件的疼功耗坦对投应接用有甜着疲明显停的好柔处,曾Cy旗cl茧on塔e跑Ⅲ海F只PG帽A舍为壶高性犹价比榜嵌入日式处念理解拒决方跑案泪,团提供籍多种驴密度暖、窃存储茄器柄、嵌取入式廉乘法堪器和堤封装完选择亡。在横广播翠市场冶上,雾Cy红cl晓on穿e准Ⅲ狸器件梦也同称样兽实现浮了设叮计集串成,没提高柳性能技,降职低功句耗,侦缩短循了产升品面沈市时凉间,冈同时济满足颤低成炊本需线求。督FP乐GA小芯片煮选型葡根据畏实际读情况向我们袭选用编Al词te五ra葵公司舌生产粱的院Cy帖cl穿on字e沾Ⅲ系贩列的错EP丛3C惊25问Q2远40晴C8颤器件塔。它放分为非8厨个店Ba点nk茅,杨21拣5够个用顺户可陕配置资I/熄O么引脚诵、啄20浓个内玩部全踪局时植钟网辣络、岔66普个乘品法器蝴、览66漫个M良9K翻嵌入凶式存系储器滚、妨24立,圈64废2与个统LE甩、辽4掏个钢PL逢L例,总谊的贩RA滑M奥容量朋为渠60芦8罚,梳25局6雷bi出ts梁,每紫个蝴PL艳L挣有酸5销个输咏出。唉US队B娘技术拔概述蚂US萍B绞总线于结构罩在拜US陪B属连接查系统蚕中,肌外部凝设备红称为访US驴B孟设备脱,璃US橡B腔设备步所连练接的逐计算捞机称夜为事US恳B预主机翼。在孕US贫B适数据玉传过俩程中谢,指败向系US中B东主机赖的数线据传拔输取称为晕上行聋通信俘,指缸向秆US掘B交设备苹的数替据传图输称瞒为下豆行通杨信。捏下面压详细胡介绍踢US具B汉系统仿的各厦个组杀成部督分。膏US信B什主机肺指的铜是包默含要US充B工主控团制器涌,并傍且能拍够控史制完灾成主递机和凤US缘B努设备后之间境数据贿传输旧的设漠备。乞广义协上来宁说,因US票B及主机忙包括攻计算彼机和暖具有腹US着B鱼主控麦芯片搬的设诞备。斗US尾B克系统距的数想据和液命令唉的传竭输都爬是由冬US作B序主机戒来启辰动的竹,所惕以秩US最B高主机滑在整陶个数恐据传鹊输过射程中视占据机着主探导地亭位。川US化B绑协议给规定除,在锈同一挤时刻粘US迁B丈系统加中只犁允许热存在功一个越US垒B柔主机仁,否歇则会订引起复可控局制和医数据狱传输烂的混帅乱。扮US测B秒设备祥按照钉功能类可分强为两笼类:猴US规B语集线祥器和楚US举B宅功能盲设备抱。其害中厚US雾B庄集线柏器主衫要用裕于对被US纱B诵系统施提供柔额外备的连崖接点返,它察使得誓一个龙US窄B栏端口局可以免扩展党连接戒多个桃设备沫;狐US拔B归功能兽设备京用于字一些袭用户旗特定倦功能渔的设牧备,衡比如究本次导设计醋的数潜据采叛集卡扬。窃US撇B蚁数据降传输打类型风US洗B眨功能允设备篮和秤US夸B枕主机油软件撑之间融的通昨信需泼要以驻下强4祥个部师分:京主机假软件卵;露US忆B开总线预驱动遍程序顽;情US戴B挺主控辽制器连驱动愁程序到;始US梦B帝功能私设备辜。耳如图泼2.征1腔3臂所示尿。这赞里以哀主机弹软件网从外弓部授US以B细设备萌接收剖数据兔为例逃介绍驱整个蛾数据码传输世流程利:槽图STYLEREF1\s赔2异.SEQ图\*ARABIC\s1槐13刚U数SB社数据晚传输失流程督外部洪逻辑踩向黑US材B花的指快定端句点缓怒冲区鲁写数歌,纳US治B脑的爷SI洗E职引擎丹自动涉编码刃打包倍信息慨。忧US答B饿主控忽制器绕读取音总线亭上的暑信息唱包,名并把赶信息潮包转诞化为隔事务狗处理胶。可赚以使贼用块奸传输刚、中伴断传戒输、兰同步膛传输讽和控御制传搭输没4牵种传汉输方而式,变同时属,也记可以颂选择科低速敬、全来速、特高速么3朵种传利输速翠率进谢行传丹输。喘US荐B便的主城控制诵器驱酿动程沟序将苗一系喂列帧伯/呆小帧揪为展单位店的事镇务处腊理队跨列转勒化为辜事务兰处理因。这青样处大理是筑为了乡满足蹦US廊B粒传输叼协议绢的要崖求,讲并保奖证传搭输不托超出乔US堂B崖的带移宽。怒US略B俩总线喉驱动器程序驼向主罚机软宏件发蛇I/浪O照请求概包。花主机闷软件怨对姨US规B莲驱动拴程序筝发送荡的窑I/跨O荐请求趟包进混行相用应,脖同时异将数置据保滑存在木接收胖数据圈缓冲贱区中卡。桐US东B味协议虾对艺US仙B旅的数份据传匙输类吐型进畅行了狮详细佣的分祖类,贿按照合传输假数据怪量的句大小蚀、挂传输迟速率袭的高餐低以弊及对泄时间遣的要嫁求,岂可以渔分为泳4省种传却输类努型谊:室块传吹输、反中断念传输峡、同羽步传绞输和亏控制忽传输榨。昂这优4辩种数馆据传宝输类体型在唱传臣输数博据量灭的大针小、借传输圆速率阀的高泻低以捡及同粥步要悉求方眯面有洋各自岛的特零点,该如送表杰2-株1糖所示扫:兰表STYLEREF1\s脾2SEQ表\*ARABIC\s1佛1急U层SB害数据客传输超类型禾传输曲类型错端点腐类型馋输出色方向芒所传燥输数残据的闸特点躲控制剃传输型控制疏端点河IN币和晓OU和T轰少数图数据蚂、无敲传输扬时间耀要求安、传袍输有吃严格碗保证针块传窝输霸块端山点宗IN核或者迁OU谊T燥大量祸数据堂、无导传输叙时间老和传蝴输速擦率要圈求混中断沈传输半中断伏端点信IN善或者困OU偷T梳少量增或者锋中量仔数据瞎、有躲周期晓要求方同步树传输竟同步舱端点萝IN抢或者洁OU刑T剖大量渔数据挪、速尺率恒催定、霉有周魂期性掘EZ地-U雪SB菌F凳X2毒LP寄简介胆目前欲市场株上有辨很多蛇种今US劳B帐接口拘芯片织,抖Cy强pr江es汗s董公司辫的用EZ化-U妇SB惕F否X2真系列叉芯片肺是世禾界上帅第一达款集芝成了抖US颂B2竟.0申协议腹的微贞处理撑器,兵最典咬型的奋就是沙CY拦7C叫68劲01橡3气,其税提供盛了强站大的浑功能域,包肉括亦US皮B摆接口樱以及敏和南80避51扒兼容垃的指瓣令系供统,责但是骄其的饮功耗尝比较少大祖,其阔绝对衔值高柿达至93删6m乔W忆。因虚此,影Cy见pr才es荡s性公司石随后胞又推挺出了植低功誉耗版戒本的孕EZ玻-U劈SB谈F第X2徐LP倒系列赵芯片舍,其厨中用伴的比辫较多化的是彻CY召7C移68父01扩3A竭芯片电[拆13慰]肥。那EZ纳-U润SB黎F呀X2石LP慕芯片尸的内俯部结淡构如呀图仗2.猜14砍所示需。其韵中主并要包霉括性US喷B2李.0躬收发棕器、把串行巴引擎语(诸SI降E黄)、容增强龙型良80喘51议内核刮、们16煤KB懂的陈RA陈M墓、从4K暴B续的蚂FI重FO吹存储呆器、扬I/枝O世接口和、数叉据总降线、敌地址耕总线育和通院用可鲜编程昼接口迫(搅GP以IF换)。叔图STYLEREF1\s腥2刊.SEQ图\*ARABIC\s1稀14苗E赠Z-我US春B野FX莫2L爽P阅内部河结构初框图欲[徐14俊]眨EZ寄-U盈SB认F孔X2国LP丰拥有聪非常寇独特乞的结萄构,买其串腿行接申口引慎擎(侮SI掠E杰)负泪责完辫成串汤行数潮据的败解码遵、差绣错控摧制、圾位填忧充等邪与涉US争B粮协议闭有关魂的功欢能。沈串行揪接口翼引擎海(元SI稠E坑)能吹够实惹现大悟部分据的功肌能,猛从而姓减轻畏了嵌振入式犯增强君型础80狱51通的负化担,披简化公了铜US爬B谨固件爷程序才的开棕发。起EZ沟-U僚SB释F爷X2吓LP血的趴CP销U逃采用茶的是政增强顺型蔬80涨51佛内核源,指枝令集碎和标渠准的期80界51辩完全市兼容亡,因欲此便斥于快棉速学还习和锅应用向。这堵个增唐强型贺80网51亮内核译比标税准悉80龄51索的速关度快魔,硬旅件资侧源更常丰富淡,功壮能更扯强大谣。朽EZ智-U沿SB掏的一量个指船令周链期仅报包含桨4韵个时已钟周寇期,宵而对铸于标岁准的馋80吐51呆,一耳个指督令周讯期则太需要早12饭个时驾钟周盐期来她完成棕,招EZ烤-U俯SB讯大大浑提高源了敌CP责U逃的运棕行速蜓度。库另外肥EZ沾-U割SB雾的团CP子U祸时钟如可以参工作辅在齿12垂MH灿z惕、淹24粘MH地z慕、省48毙MH工z访。该逢芯片哑虽然斑是针仰对提US宗B2大.0莫的,前但是段和敌US沸B1倦.1摸兼容趁,它邻支持安两种滥传输全速率买:全磨速(墨Fu考ll肤S免pe妖ed责)笋12意Mb少ps弃和高泽速(黄Hi个gh伸S钳pe轨ed板)榜48嗽0M炒bp泡s宽。住EZ劲-U肿SB担F仿X2湿LP写系列政芯片揪不仅片可以董进行芹普通条的本80旦51胶系统己所具尺备的嗽操作厅,还箩集成她了完姿善的坡数据唉采集饼和发尸送机犯制。玩FX患2L钉P猫提供帅了两微种接喊口模纪式:铁Sl蚂av严e集FI带FO棋和蛇GP价IF员。她Cy努pr腾es详s柄公司冈的港EZ蛋-U栽SB医系列办芯片怨具有蹲和一践般备80涝51勇系列志单片虽机相羽同的太数据姓处理荷方式箱,可俗以通四过丹EZ遥-U堵SB顿的伙CP伯U少直接者进行炒数据嫌读取袍和发流送,浑在这字里柄CP随U逃扮演览了搬婚运者眼的角梢色,剃那么式显然修微控今制器撒本身额的工尽作频起率就啊极大扯的限柔制了东数据翻传输报带宽沈的进将一步轧提高啄,在奥一般抢低速勒的场抬合下狡我们屿尚且恩感受兆不到革这种郊限制紧的弊互病,暑但是疤把传捡输速甲率提系高至齐48异0M剥bp龄s目的条别件下及,馆CP视U筝将成体为制悬约整效个系鼓统速国度提恰高的佛瓶颈应。而序EZ欺-U锁SB译提供械了一符种独傅特的欠架构按,可真以将杯EZ留-U侦SB茅作为爽US赖B劣和外睛部逻称辑电薪路之冬间的侮管道耍,是夸US智B裙接口抽和应鞠用环侮境直屡接共产享牧FI姑FO廉,璃CP落U此不参顶与数扔据传灶输,裕但允齐许以惨FI趴FO碎或芬RA悦M耻的方萝式访邪问这袭些共洲享嫌FI萄FO各s讲,这怒种被撞称为倡“量绳子亏FI悔FO芳”的防处理巴架构观,较蓄好地瓦解决誓了鱼US下B裤高速沿模式亲的带请宽问角题,黄使本王次设由计的始实现圆成为拍可能畜[脱15反]歼。悲Sl跪av拥e尖FI拉FO挨模式犬的硬矩件结转构胶EZ咱-U访SB敢的钥Sl萌av蚂e新FI洋FO耻模式漫的硬找件结寨构如弟图所些2.充15翼示,睬图中滴描述批了橡Sl缘av笔e脚FI耳FO篮模式砍的内肌部数意据流屈程以搬及引胜脚的资使用嗽情况柴。肢图STYLEREF1\s败2东.SEQ图\*ARABIC\s1离15减S济la充ve注F摆IF鞭O漂内部排结构校框图位[育14搞]锹其中森使用昼的是钢16怪位总悼线接吸口,袍当然另也可稠以使掌用炮8硬位总订线接荡口。委外部追逻辑损通过真8寇位或狭者那16腹位的桑数据赔总线领FD眉继而虫EZ鸽-U员SB隶的恭FI啄FO式s伯进行妖通信犯。数拖据总轿线是泡双向台的,新其输固出使茧能由区SL斩OE才引脚拿来控此制。陕FI炉FO救AD槐R[抚1:屋0]州引脚禾用来烤选择短哪个个FI伏FO佛连接给到指FD析数据番总线蛾上,雅并被排外部顾主机喇控制嫌,有免4指个僵FI以FO彼可供辨选择垃,它租们分鹿别是患EP泳2汪、梦EP隐4郑、格EP璃6翠、滥EP廉8苍。这碍些端鼓点可旦以设暴为率IN组或贤OU稿T率端点松的眉一种崇,且冬能配枯置成皂多种晶形式树以适朴应带繁宽需宫要见[1照6广]厕。哀EZ并-U趁SB争的慨Sl炮av马e曲FI胞FO小有两脱种工唱作模婚式:疤同步胜工作遵模式碎和异烛步工乘作模盘式,谋这两喉种模蛾式的类出发热信号承如图垮2.箭16今所示单。这杨两种殊模式耕可以愧被外昨部时足钟刻源或坟者牺内部非时钟以源驱妨动。蚀EZ邀-U激SB窝的内抗部时滤钟源仪可以中工作缩于龟30临MH热z问或者覆48练MH全z夜,并荐且可罪以将目其输茶出到天IF避CL患K陡引脚辨上。该如果晒EZ土-U稀SB需配置甲为使尿用外熄部时暑钟源纱,可菠以在薄IF暗CL抽K币引脚保输入孟5~扮48陈MH孕z傍的时粮钟。粥引脚单FL比AG洪A~练FL呼AG助D纯反映汽了能EZ策-U印SB抛的百FI洞FO秆的状卸态。搅FL菜AG干A~傻FL冻AG振C姑引脚燃能够纷工作垮于两梅种模苹式,肝可变念图STYLEREF1\s血2绕.SEQ图\*ARABIC\s1肯16语S冻la轧ve爆F辆IF竹O迎同步闷和异盾步时组序图冷的模科式或洽者固搭定的防模式弱;店FL谅GA赞D五只能鄙工作抢在固敢定的祖模式野。当柿标志五引脚昆配置骆在可蛛变模蜡式时雕,反屋映了刘当前陆选择粉的榨FI蛾FO拒缓冲期区的时状态批。卖FL讽AG街A报反映店了可尺编程省的状偏态,逆FL孕AG妙B意反映草了满块状态芳,膝FL卵AG籍C旁反映鞭了空竟状态保。当拌标志包引脚偶配置鲜为固腊定模谈式时京,反蝇映了棍一个冶特定弊FI抱FO挡的擦3独个状辨态之查一,谅而无顷论灵FI君FO串且选择岔引脚议FI芒FO现AD纸R[喂1:嚼0]引为何伙值,津此时棕,反庆映的茂状态纪和摸FI脚FO焦都是丰可以装选择岭的。罗EZ猛-U傲SB巧的吗Sl洋av盖e馋FI低FO欺模式远的控你制引暖脚包胞括吉SL由OE单(颂Sl忧av脂e乳的输匠出使赌能)誓、时SL甘RD洞(闯Sl屯av桶e小的读器信号眠)、廊SL央WR急(被Sl贵av烟e堵的写鸭信号词)、各#S修LC驳S陶(片休选信贿号)毛、阅PK裂TE戚ND计(包旁结束浓)和挖FI呆FO咬AD穿R[跑1:攻0]画(扩FI帮FO卵选择疮)旗[屯17发]伐。图脱2.农17幸是态Sl犹av弊e真FI仓FO夜模式棕下的碗完整惭的接阁口示迁意图百。兔图STYLEREF1\s薯2摔.SEQ图\*ARABIC\s1升17械S废la组ve付F布IF携O秧模式他的完萄整接甲口连爪接示幅意图松片内蒙I2换C备总线株控制平器特夫性右EZ食-U连SB厌F纠X2撒LP形芯片件内部派还集桑成了裁I2枕C帝控制液器,嘱该控寄制鼠器具灾有两铜种功私能:职启动指加载膀数据树和通椅用犹I2勾C出总线租接口泛。其胞中,营启动忘加载催数据玻就是世当芯佩片上却电复竟位后对,通指过检奉查其咐I2好C碍总线拳上是扰否连幼接有花串行什EE僻PR命OM莲,以瞎决定树采用勉何种挽设备笑固件易加载塌方式劝;通消用显I2廉C遣总线疼接口擦可以痕很方概便地州连接赏一些瑞串行娘外设究。其扰I2吸C题总线逃的默卡认传此输速共率为皮10斑0k叨b/充s肿,可直以被坐配置倦成快局速告方式兆,传占输速泼率可泊达烫40歪0k武b/晚s谱。厨FX述2L材P翼仅提芒供虫I2超C誓主控壳制,电永远程不提尊供棉I2经C役从属览控制驳,任旗何总远线冲桃突将获产生煮一个厉错误句位,冲中断愿数据耀的传括输约[贯18克]搭。孕DD姐R预S鄙D

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论