西电电子信息系统综合实验报告_第1页
西电电子信息系统综合实验报告_第2页
西电电子信息系统综合实验报告_第3页
西电电子信息系统综合实验报告_第4页
西电电子信息系统综合实验报告_第5页
已阅读5页,还剩69页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

--优质-西安电子科技大学电子信息系统综合实验课程实验报告实验名称 电子信息系统综合实验电子工程 学院1402011班 姓名梁思颖学号同作者王梦路李习习王保智郭鑫宇实验日期2017年J1月25日指导教师评语:指导教师:实验报告内容基本要求及参考格式一、实验目的二、实验所用仪器(或实验环境)三、实验基本原理及步骤(或方案设计及理论计算)四、实验数据记录(或仿真及软件设计)五、实验结果分析及回答问题(或测试环境及测试结果)MATLAB实验一一复杂噪声产生1实验目的(1)掌握四种热噪声的基本分布并利用MATLAB产生这几种噪声;(2)熟练使用MATLAB。2实验所用仪器(或实验环境)软件:MATLAB;硬件:计算机。3实验内容利用MATLAB分别产生高斯分布、均匀分布、指数分布、瑞利分布的热噪声。4实验步骤(1)服从高斯分布的热噪声Matlab7.0本身自带了标准高斯分布的内部函数「&门~3调用格式如下:Y=randn(n)Y=randn(m,n)Y=randn([mn])Y=randn(size(A))s=randn('state')randn函数产生的随机序列服从均值为1^=0,方差02=1的高斯分布。Y=randn(n)产生的是一个nxn的随机序列矩阵,而Y=randn(m,n)和Y=randn([mn])产生的mxn的随机序列矩阵,Y=randn(size(A))产生的是大小与矩阵A同样大小的随机序列矩阵。s=randn('state')返回的是一个具有两个元素的向量,该向量显示的是当前正态随机数产生器的状态。randn('state',s)指令可以将产生器的状态设置到s,而randn('state',0)则可以将正态随机数产生器的状态恢复到初始状态。(2)服从均匀分布的热噪声同样Matlab本身也自带了(0-1)单位均匀分布的内部函数「&门~,格式如下:Y=rand(n)Y=rand(m,n)Y=rand([mn])Y=rand(size(A))s=rand('state')rand函数产生的随机序列服从(0-1)单位均匀分布。Y=rand(n)产生的是一个nxn的随机序列矩阵,而Y=rand(m,n)和Y=rand([mn])产生的mxn的随机序列矩阵,Y=rand(size(A))产生的是大小与矩阵A同样大小的随机序列矩阵。s=rand('state')返回的是一个具有两个元素的向量,该向量显示的是当前(0-1)单位均匀随机数产生器的状态。rand('state',s)指令可以将产生器的状态设置到s,而rand('state',0)则可以将(0-1)单位均匀分布随机数产生器的状态恢复到初始状态。(3)服从指数分布的热噪声先产生一个服从(0-1)单位分布的信号,然后再将其经过指数变换,就可以得到一个服从参数为九的指数分布的信号了。(4)服从瑞利分布的热噪声先产生一个服从(0-1)分布的信号,然后再经过变换,可以得到一个服从瑞利尔&丫的8卜)分布的信号了。5程序设计(1)服从高斯分布的热噪声%服从高斯(Guass)分布的热噪声(随机序列)b=1; %均值fs=1e7;%采样率t=1e-3;%随机序列长度n=t*fs;randn('state',0); %把高斯分布伪随机发生器置为0状态u=randn(1,n)+b;subplot(2,1,1),plot(u),title('高斯分布信号');subplot(2,1,2),hist(u,-4:0.1:4),title('高斯分布信号直方图');(2)服从均匀分布的热噪声%服从均匀分布的热噪声(随机序列)a=2; %(&七)均匀分布下限b=3; %伯力)均匀分布上限fs=1e7; %采样率,单位:Hzt=1e-3; %随机序列长度,单位:sn=t*fs;rand('state',0); %把均匀分布伪随机发生器置为0状态u=rand(1,n); %产生(0-1)单位均匀信号x2=(b-a)*u+a; %广义均匀分布与单位均匀分布之间的关系figure(2)subplot(2,1,1),plot(x2),title('均匀分布信号');subplot(2,1,2),hist(x2,a:0.02:b),title('均匀分布信号直方图');(3)服从指数分布的热噪声%服从指数分布的热噪声(随机序列)lambda=2.5; %指数分布参数fs=1e7; %采样频率t=1e-3; %时间长度n=t*fs;rand('state',0); %把均匀分布伪随机发生器置为0状态u=rand(1,n) %产生单位均匀信号x3=log2(1-u)/(-lambda); %指数分布与单位均匀分布之间的关系figure(3)subplot(2,1,1),plot(0:1/fs:t-1/fs,x3),xlabel('t(s)'),ylabel('x(V)'),title('指数分布信号');subplot(2,1,2),hist(x3,0:0.05:4),title('指数分布信号直方图');(4)服从瑞利分布的热噪声%服从瑞利分布的热噪声sigma=2; %瑞利分布参数sigma;t=1e-3; %杂波时间长度fs=1e7; %采样率t1=0:1/fs:t-1/fs;n=length(t1);rand('state',0); %把均匀分布伪随机发生器置为0状态u=rand(1,n);x4=sqrt(2*log2(1./u))*sigma;%产生瑞利分布信号1figure(4)subplot(2,1,1),plot(x4),title('瑞利分布噪声'),xlabel('t(单位:s)');

subplot(2,1,2),hist(x4,0:0.1:10),title('瑞利分布信号直方图');6实验结果及分析高斯分布信号实验结果如图所示:高斯分布信号6420-2-4高斯分布信号直方图0 10002000300040005000600070008000900010000高斯分布信号直方图6004002000-5 -4 -3 -2 -1 0 1 2 3 4 5均匀分布信号均匀分布信号直方图64200 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1指数分布信号t(s) x10-3指数分布信号直方图15瑞利分布噪声1050 100020003000400050006000700080009000100000300200100003002001000024 6 8 10 12t(单位:s)

瑞利分布信号直方图-27总结通过本次实验,我再次熟悉了MATLAB软件的使用,能更好的利用它来解决问题;同时,对四种热噪声的分布有了更多的学习和理解,并能利用MATLAB产生所需噪声,这些为我完成后续实验建立了良好基础。参考资料[1]张德丰.MATLAB仿真技术与应用.清华大学出版社.2012年1月MATLAB实验一一数字下变频(正交解调)1实验目的(1)掌握数字正交解调的基本原理和实现方法;(2)熟练使用MATLAB软件。实验所用仪器(或实验环境)软件:MATLAB;硬件:计算机。实验内容学习FFT、匹配滤波等数字信号处理的流程和设计方法,利用MATLAB实现对中频信号的正交解调。4实验步骤(1)用MATLAB产生中心频率为100MHz,带宽为20032,脉冲宽度为60us的线性调频信号,对其进行正交解调,采样频率为80Hz,得到I、Q两路数据,并将数据保存为idata.dat和qdata.dat;利用MATLAB生成FFT和IFFT的蝶形运算系数,分别保存为twid1k.dat和itwid1k.dat。5程序设计clearall;closeall;fs=8000000;t0=0.00006;t2=0:1/fs:t0-1/fs;f0=9900000;f1=200000;k=3300000000;N=1024;x1=cos(2*pi*(f0*t2+k*t2.A2));x=[x1zeros(1,N-length(t2))];figure(1);plot(x);fori=1:length(x)/2y1(i)=x(2*i-1)*(-1)A(i);y2(i)=x(2*i)*(-1)A(i);endfork=3:i-4z1(k)=9*(y1(k-1)+y1(k+1))/16-(y1(k-2)+y1(k+2))/16;z2(k)=9*(y2(k-1)+y2(k+1))/16-(y2(k-2)+y2(k+2))/16;endfigure(2)x1=1:length(y1);y=1:length(z1);subplot(2,1,1),plot(x1,y1,'r-',x1,y2,'b-');subplot(2,1,2),plot(y,z1,'r-',y,z2,'b-');saveidata.datz1-ascii;saveqdata.datz2-ascii;j=sqrt(-1);z=z1+j*z2;fz=fft(z,N);figure(3);plot(real(fz))m=z(1:480); %z为IQ两路信号组成的复信号,480=60usX8Mh=fliplr(conj(m));H=fft(h,N);fid=fopen('LFM_para.dat','wt');fork=1:Nfprintf(fid,'%e\n%e\n',real(H(k)),imag(H(k)));endfclose(fid);a=H.*fz;figure(4);plot(real(a));b=ifft(a,N);figure(5);plot(abs(b));6实验结果及分析10.50-0.5-1100 200 300 400 50060010.50-0.5-1100 200300 400 50060060-6040200-20-40200 400600800 1000 12007总结通过本次实验,我再次熟悉了MATLAB软件的使用,能更好的利用它来解决问题;同时,对数字正交解调的原理和方法有了更深入的学习和掌握,并能将所学知识运用到实际分析处理问题的过程中。参考资料[1]张德丰.MATLAB仿真技术与应用.清华大学出版社.2012年1月MATLAB实验一一匹配滤波1实验目的(1)掌握脉冲压缩与匹配滤波的基本原理;(2)学习fft、滤波器设计、匹配滤波等数字信号处理流程和设计方法;(3)熟练使用MATLAB软件。实验所用仪器(或实验环境)软件:MATLAB;硬件:计算机。实验内容利用MATLAB实现对中频信号的匹配滤波。

4实验步骤(1)利用数字下变频实验中产生的I、Q两路数据,生成复信号,在MATLAB中对其进行傅里叶变换,再进行共轭和数据反转,得到匹配滤波器系数并保存为LFM_para.dat;(2)在MATLAB中对实验2、3中的信号进行匹配滤波,并对结果进行分析。程序设计clearall;closeall;N=1024; %FFT点数fork=1:Ntwid(k)=complex(cos(2*pi*(k-1)/N),-sin(2*pi*(k-1)/N));end;fin=fopen('twid1k.dat','wt');fh=fopen('itwid1k.dat','wt');fork=1:N/2fprintf(fin,'%e\n%e\n',real(twid(k)),imag(twid(k)));fprintf(fh,'%e\n%e\n',real(twid(k)),-imag(twid(k)));end;fclose(fin);fclose(fh);实验结果及分析数字下变频实验及匹配滤波实验的MATLAB程序生成了后续DSP实验需要正交两路数据idata.dat和qdata.dat,以及匹配滤波器系数LFM_para.dat和蝶形运算系数twid1k.dat和itwid1k.dat。ftwIdlkd^iT史ftwIdlkd^iT史Ikd^t*;d削ddWqdau.daK2D17/1D/270115口由丁女忤1RKB I2D17/1D/270115口由丁女忤1RKB2017/1D/27Q33口由丁女忤aKB2017/1D/27Q33口由丁女忤2017/1D/27Q33口由丁女忤1KB 1总结通过本次实验,我对FFT、滤波器设计、匹配滤波等数字信号处理流程和设计方法有了更深入的学习,并且能将所学知识运用到实际分析处理问题的过程中。参考资料[1]张德丰.MATLAB仿真技术与应用.清华大学出版社.2012年1月MATLAB实验一一滤波器设计1实验目的熟悉数字滤波的基本概念、数字滤波器的主要技术指标及其物理意义;(2)掌握利用MATLB设计数字滤波器的方法。2实验所用仪器(或实验环境)软件:MATLAB;硬件:计算机。3实验内容(1)根据滤波器的主要技术指标设计低通、高通、带通和带阻滤波器;(2)绘制滤波器的幅频特性和相频特性曲线,验证滤波器的设计结果是否达到设计指标要求。4实验步骤设计IIR数字巴特沃斯低通、高通、带通及带阻滤波器,并绘制滤波器的幅频特性和相频特性曲线,验证滤波器的设计结果是否达到设计指标。要求相关技术指标如下:低通滤波器:通带截止频率0.2%^~,通带最大衰减1dB阻带截止频率0.35nrad,阻带最小衰减40dB高通滤波器:通带截止频率0.8%^~,通带最大衰减1dB阻带截止频率0.3%^~,阻带最小衰减40dB带通滤波器:通带下截止频率0.5nrad,通带上截止频率0.8%^~,通带最大衰减1dB阻带下截止频率0.3nrad,阻带上截止频率0.9%^~,阻带最小衰减40dB带阻滤波器:通带下截止频率O.lnrad,通带上截止频率0.8%^~,通带最大衰减1dB阻带下截止频率0.3nrad,阻带上截止频率0.6%^~,阻带最小衰减40dB程序设计%巴特沃斯低通滤波器%通带截止频率0.2%3~,通带最大衰减1dB%阻带截止频率0.35nrad,阻带最小衰减40dBWp=0.2;Ws=0.35;Rp=1;Rs=40;[N,Wc]=buttord(Wp,Ws,Rp,Rs);[Bz,Az]=butter(N,Wc);[H,w]=freqz(Bz,Az);figure(1)plot(w/pi,20*log(abs(H)));xlabel('w/pi');ylabel('幅频特性/dB');title('巴特沃斯低通滤波器');gridonfigure(2)plot(w/pi,angle(H));xlabel('w/pi');ylabel('相频特性/dB');title('巴特沃斯低通滤波器');gridon%巴特沃斯高通滤波器%通带截止频率0.8%3~,通带最大衰减1dB%阻带截止频率0.3nrad,阻带最小衰减40dBWp=0.8;Ws=0.3;Rp=1;Rs=40;[N,Wc]=buttord(Wp,Ws,Rp,Rs);[Bz,Az]=butter(N,Wc,'high');[H,w]=freqz(Bz,Az);figure(1)plot(w/pi,20*log(abs(H)));xlabel('w/pi');ylabel('幅频特性/dB');title('巴特沃斯高通滤波器');gridonfigure(2)plot(w/pi,angle(H));xlabel('w/pi');ylabel('相频特性/dB');title('巴特沃斯高通滤波器');gridon%巴特沃斯带通滤波器%通带下截止频率0.5%、~,通带上截止频率0.8%、~,通带最大衰减1dB%阻带下截止频率0.3nrad,阻带上截止频率0.9nrad,阻带最小衰减40dBWp1=0.5;Wp2=0.8;Ws1=0.3;Ws2=0.9;Wp=[Wp1,Wp2];Ws=[Ws1,Ws2];Rp=1;Rs=40;[N,Wc]=buttord(Wp,Ws,Rp,Rs);[Bz,Az]=butter(N,Wc);[H,w]=freqz(Bz,Az);figure(1)plot(w/pi,20*log(abs(H)));xlabel('w/pi');ylabel('幅频特性/dB');title('巴特沃斯带通滤波器');gridonfigure(2)plot(w/pi,angle(H));xlabel('w/pi');ylabel('相频特性/dB');title('巴特沃斯带通滤波器');gridon%巴特沃斯带阻滤波器%通带下截止频率0.1%、~,通带上截止频率0.8%、~,通带最大衰减1dB%阻带下截止频率0.3nrad,阻带上截止频率0.6nrad,阻带最小衰减40dBWp1=0.1;Wp2=0.8;Ws1=0.3;Ws2=0.6;Wp=[Wp1,Wp2];Ws=[Ws1,Ws2];Rp=1;Rs=40;[N,Wc]=buttord(Wp,Ws,Rp,Rs);[Bz,Az]=butter(N,Wc,'stop');[H,w]=freqz(Bz,Az);figure(1)plot(w/pi,20*log(abs(H)));xlabel('w/pi');ylabel('幅频特性/dB');title('巴特沃斯带阻滤波器');gridonfigure(2)plot(w/pi,angle(H));xlabel('w/pi');ylabel('相频特性/dB');title('巴特沃斯带阻滤波器');gridon实验结果及分析各滤波器的幅频特性和相频特性曲线如图所示,由幅频特性曲线可知各滤波器的设计结果达到设计指标的要求。巴特沃斯低通滤波器#--优质-巴特沃斯带阻滤波器0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1w/pio0000000000000001234567 特频幅巴特沃斯带阻滤波器w/pi1.101--特频相--优质-77总结--优质-通过本次实验,更好的掌握了数字滤波器的设计方法,同时学会了利用MATLAB设计滤波器的方法。参考资料[1]史林,赵树杰.数字信号处理.科学出版社.2007年9月[2]张德丰.MATLAB仿真技术与应用.清华大学出版社.2012年1月FPGA实验—流水灯1实验目的(1)掌握FPGA相关软件设计的基本流程;(2)掌握FPGA硬件电路的设计和使用(3)学会使用VHDL语言设计程序。2实验所用仪器(或实验环境)软件:quartusn;硬件:计算机。3实验内容学习VHDL语言,掌握FPGA设计的基本流程;利用quartusn软件编写流

水灯程序,完成流水灯的设计及仿真。4实验步骤编写VHDL程序并编译,进行时序仿真验证设计结果。5程序设计module1讪5卜5(5川1/丫5_^^岱1_①9~);//接口定义inputsys_clk,rst_n;inputsw1;output[3:0]led;inputsys_clk,rst_n;inputsw1;output[3:0]led;reg[25:0]count;间reg[3:0]led;reg[2:0]flag;//拨码开关用来控制流水灯的方向;〃输出:4个led产生流水现象,轮流点亮〃系统时钟频率过高,需要产生延时,使led保持状态一段时//led低电平点亮//状态转换的人口,发生变化的时候,点亮另外一个ledalways@(posedgesys_clk)beginif(!rst_n)//复位,给寄存器赋初值beginflag<=3'b0;count<=26'b0;endif(count==26'd67108800)begincount<=26'd0;if(flag==3'b011)flag<=3'b000;elseflag<=flag+3'b001;endelsecount<=count+26'd1; 〃当count没计数到指定值是,count执行加一操作endalways@(posedgesys_clk)if(sw1==1'b1)begincase(flag)//由于flag会不断变化,并且flag在从一个值变成另一个值的时候,会保持一段很长的时间,我们利用它来产生流水灯现象3'b000: led<= 4'b0111; //点亮第一个灯 低电平点亮3'b001: led<= 4'b1011; //点亮第一个灯 低电平点亮3'b010: led<= 4'b1101; //点亮第一个灯 低电平点亮3'b011:led<=4'b1110; //点亮第一个灯低电平点亮default:led<=4b1111; //一般要设置一个缺省状态,三个led全部灭endcaseendelsebegincase(flag)//由于flag会不断变化,并且flag在从一个值变成另一个值的时候,会保持一段很长的时间,我们利用它来产生流水灯现象3'b000:led<= 4'b1110; //点亮第一个灯 低电平点亮3'b001:led<= 4'b1101; //点亮第一个灯 低电平点亮3'b010:led<= 4'b1011; //点亮第一个灯 低电平点亮3'b011:led<= 4'b0111; //点亮第一个灯 低电平点亮default:led<=4b1111; //一般要设置一个缺省状态,三个led全部灭endcaseendendmodule6实验结果及分析为了便于观察仿真结果,将程序中的计数时间减小,得到时序仿真结果如下图,可以看出,四个输出端口依次产生低电平。当拨码开关电平改变时,可以看到四个端口产生低电平的顺序发生改变。7总结通过本次实验,我对FPGA的设计流程和方法有了更深入的学习和掌握,并且能熟练使用VHDL语言完成程序设计,能将所学知识运用到实际分析处理问题的过程中。参考资料[1]潘松,黄继业.EDA技术使用教程一一VHDL版(第四版).科学出版社.2010年6月FPGA实验——噪声产生1实验目的(1)掌握FPGA相关软件设计的基本流程;(2)掌握FPGA硬件电路的设计和使用(3)熟悉quartusn软件的使用方法。2实验所用仪器(或实验环境)软件:MATLAB、quartusII;硬件:计算机。3实验内容利用quartusn软件元件库实现噪声的产生。4实验步骤利用quartusn软件元件库实现噪声产生电路,利用MATLAB产生噪声的数据文件加载到quartus元件中。5程序设计利用quartusn软件元件库实现噪声产生电路。电路如下图:PIN_16PIN78PIN77PIN76PIN75PIN74「673PIN72PIN71PIN_70PIN_69PIN_16PIN78PIN77PIN76PIN75PIN74「673PIN72PIN71PIN_70PIN_69nilmalPIN_796实验结果及分析实验结果如图所示:uuuinrLnjmjuuuuinnnnnrLruuuuinnnnnnjuuuinrLruinrLniuuuuuuinnnjuuuuuuuLn肮二・九讥工■:Hin/snirwiminnT二工nwr二uuuinrLnjmjuuuuinnnnnrLruuuuinnnnnnjuuuinrLruinrLniuuuuuuinnnjuuuuuuuLn肮二・九讥工■:Hin/snirwiminnT二工nwr二:10帆工工亡帆仁二力11世1二二・川毗;讥忙必讥[11尤工1・孔・加口:丽MJl切工醯h茹:由丽丽加ftn口工物J颔hqgNbii&Jl巾着3上填:依即小士中仇口疝域1起丽电iaHXU圆D®<L**rfnF!rel!fft2$ih j|-iFmta■il?w77总结--优质-通过本次实验,我再次熟悉了quartusn等软件的使用,能更好的利用它来解决问题;同时,对FPGA的设计流程和方法有了更深入的学习和掌握,并能将所学知识运用到实际分析处理问题的过程中。参考资料[1]潘松,黄继业.EDA技术使用教程一一VHDL版(第四版).科学出版社.2010年6月FPGA实验一一正弦信号产生1实验目的(1)掌握FPGA相关软件设计的基本流程;(2)掌握FPGA硬件电路的设计和使用(3)学会使用VHDL语言设计程序。2实验所用仪器(或实验环境)软件:MATLAB、quartusII;硬件:计算机。--优质-3实验内容利用quartusn软件元件库实现正弦信号的产生。5程序设计利用quartusn软件元件库实现噪声产生电路,无需编写程序。电路如下图:PIN78PIN77PIN76PIN75PIN74利用quartusn软件元件库实现噪声产生电路,无需编写程序。电路如下图:PIN78PIN77PIN76PIN75PIN74PIN73PIN72PIN71PIN70PIN696实验结果及分析实验结果如图所示:7总结通过本次实验,我再次熟悉了quartusn等软件的使用,能更好的利用它来解决问题;同时,对FPGA的设计流程和方法有了更深入的学习和掌握,并能将所学知识运用到实际分析处理问题的过程中。参考资料[1]潘松,黄继业.EDA技术使用教程一一VHDL版(第四版).科学出版社.2010年6月DSP实验一一DSP链路接口测试1实验目的(1)了解数字信号处理电路的链路口连接;(2)掌握DSP程序设计的基本流程和设计方法。实验所用仪器(或实验环境)软件:visualDSP++;硬件:计算机。实验内容在DSP1的数据存储区放置一些数,通过链路口将其传送到DSP2。4实验步骤(1)了解数字信号处理电路的链路口连接,链路口2->链路口0,链路口1->链路口3;(2)编写DSP1程序,即发送程序;编写DSP2程序,即接收程序;(3)选择session:TS101Emulator,即选择硬件仿真平台,加载link_tran.dpj到DSP1中,加载link_rec.dpj到DSP2中,在两程序的idle处分别设置断点,然后同时运行两程序至断点,观察DSP2中数据接收区的结果。5程序设计(1)链路口发送程序:link_tran.asm#include"MYts101def.H".sectiondata1;.VARdatum1[12]={9,1,1,1,1,1,1,1,1,1,1,1};.VARdatum2[12]={8,2,2,2,2,2,2,2,2,2,2,2};.VARdatum3[12]={7,3,3,3,3,3,3,3,3,3,3,3};.VARdatum4[12]={6,4,4,4,4,4,4,4,4,4,4,4};.VARdatum5[12]={5,5,5,5,5,5,5,5,5,5,5,5};.VARdatum6[12]={4,6,6,6,6,6,6,6,6,6,6,6};.VARdatum7[12]={3,7,7,7,7,7,7,7,7,7,7,7};.VARdatum8[12]={2,8,8,8,8,8,8,8,8,8,8,8};.VARdatum9[12]={1,9,9,9,9,9,9,9,9,9,9,9};.VARdatum10[12]={15,15,15,15,15,15,15,15,15,15,15,15};.align4;.vardatum_dcs_di=datum1;.vardatum_dcs_dx=0x00780004;.vardatum_dcs_dy=0;.vardatum_dcs_dp=DTY_MST|DLEN_QST;.sectiondata2;.VARdatum1_r[12];.VARdatum2_r[12];.VARdatum3_r[12];.VARdatum4_r[12];.VARdatum5_r[12];.VARdatum6_r[12];.VARdatum7_r[12];.VARdatum8_r[12];.VARdatum9_r[12];.VARdatum10_r[12];.align4;.vardatum_dcd_di=datum1_r;.vardatum_dcd_dx=0x00780004;.vardatum_dcd_dy=0;.vardatum_dcd_dp=DTY_MST|DLEN_QST;.sectionprogram;MAIN:XR0=0X294A;;SYSCON=XR0;;YR1=0XFF3FFFFF;;SQCTLCL=YR1;;XR30=RESERVED_INTERPUT;;ivsw=XR30;; //Setsoftwareexceptioninterruptvector=RESERVED_INTERPUT.ivhw=XR30;; //Sethardwareerrorinterruptvector=RESERVED_INTERPUT.ivtimer1hp=XR30;;//Settimer1high-priorityinterruptvector=RESERVED_INTERPUT.ivtimer0hp=XR30;;//Settimer0high-priorityinterruptvector=RESERVED_INTERPUT.ivbuslk=XR30;;//Setbuslockinterruptvector=RESERVED_INTERPUT.virpt=XR30;; //Setvectorinterruptvector=RESERVED_INTERPUT.ivirq3= XR30;; //Set/IRQ3interrupt vector = RESERVED_INTERPUT.ivirq2= XR30;; //Set/IRQ2interrupt vector = RESERVED_INTERPUT.ivirq1= XR30;; //Set/IRQ1interrupt vector = RESERVED_INTERPUT.ivirq0= XR30;; //Set/IRQ0interrupt vector = RESERVED_INTERPUT.ivdma13=XR30;; //Set DMAchannel 13 interruptvector = RESERVED_INTERPUT.ivdma12=XR30;; //Set DMAchannel 12 interruptvector = RESERVED_INTERPUT.ivdma11=XR30;; //Set DMAchannel 11 interruptvector = RESERVED_INTERPUT.ivdma10=XR30;; //Set DMAchannel 10 interruptvector = RESERVED_INTERPUT.ivdma9= XR30;; //Set DMAchannel 9 interruptvector= RESERVED_INTERPUT..ivdma8= XR30;; //Set DMAchannel 8 interruptvector= RESERVED_INTERPUT.ivdma7= XR30;; //Set DMAchannel 7 interruptvector= RESERVED_INTERPUT.ivdma6= XR30;; //Set DMAchannel 6 interruptvector= RESERVED_INTERPUT.ivdma5= XR30;; //Set DMAchannel 5 interruptvector= RESERVED_INTERPUT.ivdma4= XR30;; //Set DMAchannel 4 interruptvector= RESERVED_INTERPUT..ivdma3= XR30;; //Set DMAchannel3interrupt vector=RESERVED_INTERPUT.ivdma2= XR30;; //Set DMAchannel2interrupt vector=RESERVED_INTERPUT.ivdma1= XR30;; //Set Dma1interruptvector= RESERVED_INTERPUT..ivdma0= XR30;; //Set Dma0interruptvector= RESERVED_INTERPUT..ivlink3= XR30;; //Set linkport 3 interruptvector = RESERVED_INTERPUT.ivlink2= XR30;; //Set linkport 2 interruptvector = RESERVED_INTERPUT.ivlink1= XR30;; //Set linkport 1 interruptvector = RESERVED_INTERPUT.ivlink0= XR30;; //Set linkport 0 interruptvector = RESERVED_INTERPUT.ivtimer1lp=XR30;;//Settimer1low-priorityinterruptvector=RESERVED_INTERPUT.ivtimer0lp=XR30;;//Settimer0low-priorityinterruptvector=RESERVED_INTERPUT.BB:YR0=0X0CC00000;;SQCTLST=YR0;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;j2=datum_dcs_di;;xr3:0=Q[j2+=4];;XR4=LTENST|LRENST|LSPD8ST|LPSIZEST;;LCTL1=XR4;;//L1->L3 //发送链路口为1DC5=XR3:0;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;idle;;//********保留用中断服务程序********//RESERVED_INTERPUT:NOP;;NOP;;RTI(np)(ABS);;NOP;;idle;;IDLE;;(2)链路口接收程序:link_rec.asm#include"MYts101def.H".sectiondata1;.VARdatum1[12]={9,1,1,1,1,1,1,1,1,1,1,1};.VARdatum2[12]={8,2,2,2,2,2,2,2,2,2,2,2};.VARdatum3[12]={7,3,3,3,3,3,3,3,3,3,3,3};.VARdatum4[12]={6,4,4,4,4,4,4,4,4,4,4,4};.VARdatum5[12]={5,5,5,5,5,5,5,5,5,5,5,5};.VARdatum6[12]={4,6,6,6,6,6,6,6,6,6,6,6};.VARdatum7[12]={3,7,7,7,7,7,7,7,7,7,7,7};.VARdatum8[12]={2,8,8,8,8,8,8,8,8,8,8,8};.VARdatum9[12]={1,9,9,9,9,9,9,9,9,9,9,9};.VARdatum10[12]={15,15,15,15,15,15,15,15,15,15,15,15};.align4;.vardatum_dcs_di=datum1;.vardatum_dcs_dx=0x00780004;.vardatum_dcs_dy=0;.vardatum_dcs_dp=DTY_MST|DLEN_QST;.sectiondata2;.VARdatum1_r[12];.VARdatum2_r[12];.VARdatum3_r[12];.VARdatum4_r[12];.VARdatum5_r[12];.VARdatum6_r[12];.VARdatum7_r[12];.VARdatum8_r[12];.VARdatum9_r[12];.VARdatum10_r[12];.align4;.vardatum_dcd_di=datum1_r;.vardatum_dcd_dx=0x00780004;.vardatum_dcd_dy=0;.vardatum_dcd_dp=DTY_MST|DLEN_QST;.sectionprogram;MAIN:XR0=0X294A;;SYSCON=XR0;;YR1=0XFF3FFFFF;;SQCTLCL=YR1;;XR30=RESERVED_INTERPUT;;ivsw=XR30;;//Setsoftwareexceptioninterruptvector=RESERVED_INTERPUT.ivhw=XR30;;//Sethardwareerrorinterruptvector=RESERVED_INTERPUT.ivtimer1hp=XR30;;//Settimer1high-priorityinterruptvector=RESERVED_INTER.PUTivtimer0hp=XR30;;//Settimer0high-priorityinterruptvector=RESERVED_INTERPU.Tivbuslk=XR30;;//Setbuslockinterruptvector=RESERVED_INTERPUT.virpt=XR30;;//Setvectorinterruptvector=RESERVED_INTERPUT.ivirq3=XR30;;//Set/IRQ3interruptvector=RESERVED_INTERPUT.ivirq2=XR30;; //Set/IRQ2interruptvector=RESERVED_INTERPUT.ivirq1=XR30;; //Set/IRQ1interruptvector=RESERVED_INTERPUT.ivirq0=XR30;; //Set/IRQ0interruptvector=RESERVED_INTERPUT.ivdma13=XR30;; //SetDMAchannel 13 interruptvector= RESERVED_INTERPUT.ivdma12=XR30;; //SetDMAchannel 12 interruptvector= RESERVED_INTERPUT.ivdma11=XR30;; //SetDMAchannel 11 interruptvector= RESERVED_INTERPUT.ivdma10=XR30;;//SetDMAchannel10interruptvector=RESERVED_INTERPUT.ivdma9= XR30;; //SetDMAchannel 9 interruptvector = RESERVED_INTERPUT..ivdma8= XR30;; //SetDMAchannel 8 interruptvector = RESERVED_INTERPUT.ivdma7= XR30;; //SetDMAchannel 7 interruptvector = RESERVED_INTERPUT.ivdma6= XR30;; //SetDMAchannel 6 interruptvector = RESERVED_INTERPUT.ivdma5= XR30;; //SetDMAchannel 5 interruptvector = RESERVED_INTERPUT.ivdma4=XR30;;//SetDMAchannel4interruptvector=RESERVED_INTERPUT..ivdma3= XR30;; //SetDMAchannel 3 interruptvector = RESERVED_INTERPUT.ivdma2= XR30;; //SetDMAchannel 2 interruptvector = RESERVED_INTERPUT.ivdma1=XR30;;//SetDma1interruptvector=RESERVED_INTERPUT..ivdma0=XR30;;//SetDma0interruptvector=RESERVED_INTERPUT..ivlink3=XR30;;//Setlinkport3interruptvector=RESERVED_INTERPUT.ivlink2=XR30;;//Setlinkport2interruptvector=RESERVED_INTERPUT.ivlink1=XR30;;//Setlinkport1interruptvector=RESERVED_INTERPUT.ivlink0=XR30;;//Setlinkport0interruptvector=RESERVED_INTERPUT.ivtimer1lp=XR30;;//Settimer1low-priorityinterruptvector=RESERVED_INTERP.UTivtimer0lp=XR30;;//Settimer0low-priorityinterruptvector=RESERVED_INTERPU.TBB:YR0=0X0CC00000;;SQCTLST=YR0;;NOP;;NOP;;NOP;;NOP;;j2=datum_dcd_di;;xr3:0=Q[j2+=4];;XR4=LRENST|LTENST|LSPD8ST|LPSIZEST;;LCTL3=XR4;;//L1->L3 //接收链路口为3DC11=XR3:0;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;NOP;;idle;;//********保留用中断服务程序********//RESERVED_INTERPUT:NOP;;NOP;;RTI(np)(ABS);;NOP;;idle;;IDLE;;实验结果及分析在两个程序的idle处设置断点,同时运行DSP1与DSP2程序至断点,观察DSP2的data2数据存储区,链路口通信成功。总结通过本次实验,我对DSP的设计流程和方法有了更深入的学习和掌握,并能将所学知识运用到实际分析处理问题的过程中。参考资料[1]罗勇江,刘书明,百科.VisualDSP++集成开发环境实用指南.电子工业出版社.2008年6月DSP实验一一数字下变频(正交解调)1实验目的(1)熟悉数字正交解调的原理与DSP处理方法;(2)掌握数字下变频处理的处理过程和实验方法,可以利用集成开发环境提供的画图功能产生仿真结果。2实验所用仪器(或实验环境)软件:visualDSP++;硬件:计算机。3实验内容用波形产生电路板或者MATLAB语言产生的频率为10.1乂,脉冲宽度为20us的单频正弦脉冲信号,并将其存为数据文件。在DSP工程中加载数据文件,然后进行数字正交相干检波。4实验步骤(1)首先由MATLAB产生合适的线性调频信号;通过FPGA程序实现DSP对A/D采样信号的数据。人/口采样的时钟为8M由40M时钟分频得到,同时8M时钟也作为DSP1的DMA请求信号;(2)在VisualDSP++中,新建工程,之后根据参考程序运行结果,在JUMPirq0_wait处设置断点,运行程序至断点处观察结果,根据环境自带的绘图功能绘制结果图。5程序设计#include"MYts101def.h"#defineBUFFER_N1024 //INPUTBUFFER#defineFFT_N 1024#defineL 72 //numberoffirfiltercoefficients/* M1data */.sectiondata1;.align4;.varbuf_in1[BUFFER_N]="idata.dat"; //I,Qdatainputbuffer.varbuf_in2[BUFFER_N]="qdata.dat";.align4;.varpara[2*BUFFER_N]="LFM_para.dat";.align4;.vartwid1k[BUFFER_N]="twid1k.dat";.align4;.varitwid1k[BUFFER_N]="itwid1k.dat";// M2data //.sectiondata2;.align4;.varfft_data[2*BUFFER_N];.align4;.varfft_result[2*BUFFER_N]; //fftresultbuffer.align4;.varpara_mult_result[2*BUFFER_N]; //paramentmuliplyresult.align4;.varifft_result[2*BUFFER_N];.align4;.varTR_data[2*BUFFER_N];.align4;.varqiumo_result[BUFFER_N];.align4;.varreg_stack; //functionstack// M0program //.sectionprogram;start: IMASKH=0X80000000;;IMASKL=0;;xr0=0x21861;;syscon=xr0;;J30=RESERVED_INTERPUT;;ivsw=J30;;ivhw=J30;;ivtimer1hp=J30;;ivtimer0hp=J30;;ivbuslk=J30;;virpt=J30;;ivirq3=J30;;ivirq2=J30;;ivirq1=J30;;ivirq0=J30;;ivdma13=J30;;ivdma12=J30;;ivdma11=J30;;ivdma10=J30;;ivdma9=J30;;ivdma8=J30;;ivdma7=J30;;ivdma6=J30;; ivdma5=J30;; ivdma4=J30;;ivdma3=J30;;ivdma2=J30;;ivdma1=J30;; ivdma0=J30;; ivlink3=J30;;TOC\o"1-5"\h\zivlink2=J30;;ivlink1=J30;;ivlink0=J30;;ivtimer1lp=J30;;ivtimer0lp=J30;;// INITIATEUreg //J0=0;;J1=0;;J2=0;;J3=0;;J4=0;;J5=0;;J6=0;;J7=0;;J8=0;;J9=0;;J10=0;;J11=0;;J12= 0;;J13 = 0;;J14 = 0;;J15 = 0;;J16 =0;;J17= 0;;J18 = 0;;J19 = 0;;J20 = 0;;J21 =0;;J22= 0;;J23 = 0;;J24 = 0;;J25 = 0;;J26 =0;;J27= 0;;J28 = 0;;J29 = 0;;K0=0;;K1=0;;K2=0;;K3=0;;K4=0;;K5=0;;K6=0;;K7=0;;K8=0;;K9=0;;K10=0;;K11=0;;K12=0;;K13=0;;K14=0;;K15=0;;K16=0;;K17=0;;K18=0;;K19=0;;K20=0;;K21=0;;K22=0;;K23=0;;K24=0;;K25=0;;K26=0;;K27=0;;K28=0;;K29=0;;JB0=0;;JB1=0;;JB2=0;;JB3=0;;JL0=0;;JL1=0;;JL2=0;;JL3=0;;KB0=0;;KB1=0;;KB2=0;;KB3=0;;KL0=0;;KL1=0;;KL2=0;;KL2=0;;XR0=0;;XR1=0;;XR2=0;;XR3=0;;XR4=0;;XR5=0;;XR6=0;;XR7=0;;XR8=0;;XR9=0;;XR10=0;;XR11=0;;XR12=0;;XR13=0;;XR14=0;;XR15=0;;XR16=0;;XR17=0;;XR18=0;;XR19=0;;XR20=0;;XR21=0;;XR22=0;;XR23=0;;XR24=0;;XR25=0;;XR26=0;;XR27=0;;XR28=0;;XR29=0;;XR30=0;;XR31=0;;YR0=0;;XR1=0;;YR2=0;;YR3=0;;YR4=0;;YR5=0;;YR6=0;;YR7=0;;YR8=0;;YR9=0;;YR10=0;;YR11=0;;YR12=0;;YR13=0;;YR14=0;;YR15=0;;YR16=0;;YR17=0;;YR18=0;;YR19=0;;YR20=0;;YR21=0;;YR22=0;;YR23=0;;YR24=0;;YR25=0;;YR26=0;;YR27=0;;YR28=0;;YR29=0;;YR30=0;;YR31=0;;LCTL0=XR0;;LCTL1=XR0;;LCTL2=XR0;;LCTL3=XR0;;DCS0=XR3:0;;DCS1=XR3:0;;DCS2=XR3:0;;DCS3=XR3:0;;DCD0=XR3:0;;DCD1=XR3:0;;DCD2=XR3:0;;DCD3=XR3:0;;DC4=XR3:0;;DC5=XR3:0;;DC6=XR3:0;;DC7=XR3:0;;DC8=XR3:0;;DC9=XR3:0;;DC10=XR3:0;;DC11=XR3:0;;DC12=XR3:0;;DC13=XR3:0;;TMRIN1H=0;;TMRIN1L=0;;TMRIN0H=0;;TMRIN0L=0;;YR0=0X07F00000;;//allflagsareoutput,initialvalueis1,exceptflag3;interruptisedgesensitive;SQCTLST=YR0;;lc1=BUFFER_N;;j1=j31+buf_in1;;j2=j31+buf_in2;;j3=j31+fft_data;;I_Qcomb://IQ两路合成一路进行FFT变换xr0=[j1+=1];;xr1=[j2+=1];;l[j3+=2]=xr1:0;;.align_code4;ifnlc1e,jumpI_Qcomb;;nop;;nop;;nop;;nop;;// FFT //j4=j31+fft_data;; //fftinputj5=j31+fft_result;; //fftoutputk30=k31+twid1k;; //k30->twiddlesj6=FFT_N;;k10=FFT_N/2;;.align_code4;callFFT;;nop;;nop;;nop;;nop;;// PARAMULTIPLY //.align_code4;callPARA_MULT;;nop;;nop;;nop;;nop;;// IFFT //J4=J31+para_mult_result;; //ifftinputJ5=J31+ifft_result;; //ifftoutputk30=k31+itwid1k;; //k30->itwiddlesj6=FFT_N;;k10=FFT_N/2;;.align_code4;callIFFT;;nop;;nop;;nop;;nop;;J0=J31+ifft_result;r10=-10;;j1=j31+TR_data;;lc0=FFT_N;;loop: xr1:0=l[j0+=2];;xfr0=scalbfr0byr10;;xfr1=scalbfr1byr10;;.align_code4;ifnlc0e,jumploop(np);l[j1+=2]=xr1:0;;nop;;nop;;QIUMO_PROCESS: //求模j4=j31+TR_data;;j5=j31+qiumo_result;;lc0=2*BUFFER_N/4;;r1:0=q[j4+0];;

r3:2=q[j4+=4];;fr4=r0*r2;; //I*Iiflc0e;fr5=r1*r3;; //Q*Q.align_code4;qiumo_loop:r1:0=q[j4+0];;r3:2=q[j4+=4];fr6=r4+r5;;ifxaeq;do,xr6=1.0E-4;fr4=r0*r2;; //数为0时,置数ifyaeq;do,yr6=1.0E-4;fr5=r1*r3;;fr7=RSQRTSr6;;fr8=r6*r7;;.align_code4;ifnlc0e,jumpqiumo_loop;l[j5+=2]=r8;;nop;;fr6=r4+r5;;ifxaeq;do,xr6=1.0E-4;;ifyaeq;do,xr6=1.0E-4;;fr7=RSQRTSr6;;fr8=r6*r7;;l[j5+=2]=r8;;idle;;nop;;nop;;//**********************THEENDOFMAIN***********************************//// Paramultsubroutine ////与匹配滤波器系数频域相乘子程序PARA_MULT:k1=k31+fft_result;;k2=k31+para;;k3=k31+para_mult_result;;lc0=(2*BUFFER_N)/4;;r1:0=q[k1+=4];;r3:2=q[k2+=4];;fr4=r0*r2;;fr5=r1*r3;;fr6=r0*r3;;ifnlc0e;fr7=r1*r2;;align_code4;para_mult_loop: r1:0=q[k1+=4];fr8=r4-r5;;r3:2=q[k2+=4];fr9=r6+r7;;fr4=r0*r2;q[k3+=4]=r9:8;;fr5=r1*r3;;fr6=r0*r3;;.align_code4;ifnlc0e,jumppara_mult_loop(np);fr7=r1*r2;;

fr8=r4-r5;;fr9=r6+r7;;q[k3+=4]=r9:8;;cjmp(abs)(np);;FFT://FFT函数J4:FFTINPUT;J5:FFTOUTPUT;K30:FFT蝶形系数;J6:FFT点数;_FFTStages1and2:J7=ashiftrj6;xr0=j6;; // J7=N/2J7=ashiftrJ7;xr0=LD0r0;; //J7=N/4J7=ashiftrJ7;xr1=31;; // J7=N/8LC0=J7;j0=j31+j4;xr0=r1-r0;; // LC0=N/8, j0->inputj12=j31+j6;k28=xr0;; // j12=mask for bitrev,k28=STAGESk29=ashiftrk28;r1:0=brq[j0+=j12];;k29=k29+1;r3:2=brq[j0+=j12];; //k29=MIDSTAGEk28=k28-k29;r5:4=brq[j0+=j12];; //k28=STAGES-MIDSTAGEr7:6=brq[j0+=j12];fr8=r0+r2,fr9=r0-r2;k29=k29-2;; //k29=MIDSTAGE-2fr10=r1+r3,fr11=r1-r3;;fr12=r4+r6,fr14=r4-r6;;ifLC0E;j14=j31+j5;fr13=r5+r7,fr15=r5-r7;;//decrementsLC0.align_code4;stg_1_2_loop:r1:0= brq[j0+=j12]; fr16 =r8+r12,fr20= r8-r12;;r3:2= brq[j0+=j12]; fr17 =r10+r13,fr21 =r10-r13;;r5:4= brq[j0+=j12]; fr18 =r9+r15,fr22 =r9-r15;;r7:6= brq[j0+=j12]; fr23 =r11+r14,fr19 =r11-r14;;q[j14+j6]=xr19:16;fr8=r0+r2,fr9=r0-r2;;q[j14+=0x4]=yr19:16;fr10=r1+r3,fr11=r1-r3;;q[j14+j6]=xr23:20;fr12=r4+r6,fr14=r4-r6;;.align_code4;ifNLC0E,jumpstg_1_2_loop(P);q[j14+=0x4]=yr23:20;fr13=r5+r7,fr15=r5-r7;;fr16=r8+r12,fr20=r8-r12;;fr17=r10+r13,fr21=r10-r13;;fr18=r9+r15,fr22=r9-r15;;fr23=r11+r14,fr19=r11-r14;;q[j14+j6]=xr19:16;;q[j14+=0x4]=yr19:16;;q[j14+j6]=xr23:20;;q[j14+=0x4]=yr23:20;;//*******************************stages3//*******************************stages3toMIDSTAGE*************************k9=k31+k10;j1=j31+0x4;; //k9=initialtwiddlestride,j1=bflystride*/j2=j31+0x8;; //j2=groupstridej13=ashiftrj6;;j12=j31+0x2;; //j12=bfliespergroupj13=ashiftrj13;k8=k31+k30;;j13=ashiftrj13;k6=k31+k29;; //gpsperstage,k6=(MIDSTAGE-2)

.align_code4;stage_loop:j1=j1+j1;;j2=j2+j2;;//.align_code4;stage_loop:j1=j1+j1;;j2=j2+j2;;//bflystride//grpstride,twiddlesstridej0=j5+0x0;k9=ashiftrk9;;j3=j31+0;yr5:4=l[k8+=k9];;r3:2=q[j0+j1];xr5:4=l[k8+=k9];;LC1=j12;j13=ashiftrj13;;.align_code4;bfly_loop://Setpointertointernalbuff//halfgpsperstagecountr1:0=q[j0+=j2];r19:18=q[j0+j1];LC0=j13;j10=j0-j2;fr6=r2*r4;;fr7=r3*r5;;fr8=r3*r4;;fr9=r2*r5;;r17:16=q[j0+=j2];fr22=r18*r4;fr10=r6-r7;;r3:2=q[j0+j1];fr23=r19*r5;fr11=r8+r9;;fr24=r19*r4;fr12=r0+r10,fr14=r0-r10;;ifLC0E;fr25=r18*r5;fr13=r1+r11,fr15=r1-r11;;.align_code4;group_loop:r1:0=q[j0+=j2];fr6=r2*r4;r19:18=q[j0+j1];fr7=r3*r5;q[j10+j1]=r15:14;fr8=r3*r4;q[j10+=j2]=r13:12;fr9=r2*r5;fr26=r22-r23;;fr27=r24+

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论