讲稿创维电视_第1页
讲稿创维电视_第2页
讲稿创维电视_第3页
讲稿创维电视_第4页
讲稿创维电视_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

NANDNANDFLASH

33V电压产生电路

F-

DVB-

TUN25V

预中放

TV-图象声表声音声表TV-U15 地址

根据根据屏的供电可2TV-AV1

数据

数字音频

伴音音效伴音音效果处理数字伴音输出

亮对比度彩色处理

开机上电缓冲电路关机自静音电路

数字数字功放

VCC

AC-DC

TS21TS21AUDIO

5V5V

CVBS_Out

Checkdemoboardbrandforwhichpackage

ClosetoMST

Closeto

DDC_SDA_DDDC_SCL_D

5

CDS2C16GTHCDS2C16GTH

ClosetoMST.

R25433RR255

VGA-TXR256VGA-RXR257

DDC

Hot-Plug

DDC

Hot-Plug

DDC

Hot-Plug

ForCECLeakage

ToMST

增加消除开机冲击声

开关机PDN

LOW-->Active(Output)

BB

1234

SCK-DDDD

D54EBEB

10KE2E

3 100AMP-CC3R3663

R328470K 2

3R3291K

BB

122

R367

R332+00K

+

C2C

EE

CC

R660

OUT3AOUT3B

10k

21

VSS-

15

0.47uF0.47uF

GND_REG

ClosetoMST.

OUT1AGND1VCC1OUTT1B

1nF

R342

I2C-

C253C254C25522pF22pF22pFI2C-

33

OUT2AVCC2GND2OUT2BVCC_REGVSSTESTMODE

0.47uF0.47uF

1

22

I2C-

SA

GND_SUB1

2

12

Wednesday,December23,

Y

Closeto

560pFKeepA_GNDtracewithKeepSpacingforL/Rcahnnel.Keeptracewidth(12mil+).

Audio

RCAAudioInput(ADCCVBS

Closeto

Note:ClosetoMST.SPDIF

KeepA_GNDtracewithSignal.KeepSpacingforL/Rcahnnel.Keeptracewidth(12mil+).

PCAudioEarphone

5D

G0-

1VCC 3 VCC5 GND7 GND9RA4+RA4-11 RA3-13RAC+RAC-15RA2+RA2-17RA1+RA1-

G1-

L49+

32327766545

D

G2-

19RA0+RA0-21GND 23 Mode DCR

G3- PANLE-DCRPANLE-

100nF

C

I2C-

R6-I2C-

27 GND29RB4+RB4-31RB3+RB3-33RBC+RBC-35RB2+RB2-37RB1+RB1-39RB0+RB0-41 GND43 SCL45GND

R7-I2C-

I2C-

Hi:OffLo:2Panel_ON-2

33

Q

CCON20X2-J20-

424286428642864286428642R/33R/04023R433R44R4533

BAxxx6_MSD3A10HX_Socket Panel 0

Wednesday,December23,2

12ndYPbPr

+ +

+ +

22

2222

+ +

1 1

5V_Normal11112511143610131

DADBDCDDVCCGNDEN

+ +

22

2222

++ ++

1

2323

1 1

111

+1

R435

2 USB0_D+R4364566

C

USBPowerControlHigh:PowerONLow:PowerOFFBVBUSD-

USBOTGsupport"Host":5V-"Device"Self-Pwr:Conn-5V(NC),5V- Bus-Pwr:Conn-5V->Sys&Chip*Detect:ByVBUSpin(int.GPIO=>EnableDPpull-up3.3VifHostD+GND

A

OTG1.1:MountOTG2.0:NC

2

Wednesday,December23,

1

Closeto

GNDADATA I/O 2468

ALRCLK

KeepA_GNDtracewithKeepSpacingforL/Rcahnnel.Keeptracewidth(12mil+).

12K/NC560pF/NC

USB1_D-0R647USB0_D- D1-

D2+0R649D1+ USB0_D+

TransferI2SforaudioCloseto

<RevC

RCACVBS

+

2 233

33

1

22

2Vp-++

AUDIO

Vref

VCC88

+C341

44

R469

32

1

C345

85 87464

Near

Groundinthemiddleofthe

Wednesday,December23,

ET_MDC-

25

AVDD33

ET_TXD1-ET_TX_EN-

266543

REGINREGOUTAGNDAGND

49.9R/1%49.9R/1%49.9R/1%

49.9R/1%49.9R/1%49.9R/1%ET_REF_CLK-

R4840RET_CRS-ET_RXD1-

7222120191816

ISETMDI_TP

R487

ET_RX_ER-

1

MDI_TNMDI_RP

SettoRMII

MDI_RNTEST_ON

1

12R4885.1k

37AN_ENA

AN_ENA

1

DPLX

7PHYAD1/LED1

PHYAD2/LED2

1

PHYAD3/LED3PHYAD4/LED4

ISOL

11

RESET_N

R489

SGND

C355ET_MDIO-ET_TXD1-ET_TX_EN-

ET_MDC-ET_MDIO-

1745

ET_REF_CLK-

ET_REF_CLK-

50MHzCLOCKGenerateby

+

*

LEDandPHYET_CRS-ET_RXD1-

ET_CRS-ET_RXD1-

ICC<

ThisschematicsetsPHYaddressuse25M

AsclosetoPin32as

AsclosetoPin8as

3 3

**Beadshouldbe cedasclosetoIP101AaspossibleandinthesamesideasIP101A.*:Optional

1

2

ascloseasto

AsclosetoIP101APin36aspossible

Pin48couldbeshortVDD33ifinterruptfuntionisnot

1

2

Ascloseto

1

2

Pin14as

100Act

Wednesday,December23,

10K10K

R509

12

AUX2UCAUX1UC

10K10K

11

BypassST8024(Justoptionfor

3

I/OUC

C369

66

2524C1X1R515

C1_DET

C1_DATAC1_AUX2C1_AUX1

RSTINCMDVCCPORADJVCCRSTCLK

3322

+

C371ST8024CDR

C372C373100pF100pFCON15

1

NormalNormal

+

R530

MMBT3906R5261 1

5

SM1_CLK

R528R52510K10K4324321

33

9

SM1_RST

C1X233

2

122

10K

5567

Custo

SM1_RST_IN SM1_RST_IN NC/0RC1_DET_NONC/0RC1_DET_NCNC/0RC1_RSTNC/0RC1_CLKNC/0RC1_DATA

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论