主从D触发器数据流级建模_第1页
主从D触发器数据流级建模_第2页
主从D触发器数据流级建模_第3页
主从D触发器数据流级建模_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

主从D触发器数据流级建模、实验目的:学会并掌握QuartusII软件的使用;学会并掌握modelsim仿真软件的使用;学会并编写VerilogHDL语言。二、实验内容:本实验建立一个主从结构的D触发器,采取数据流语句。其电路图如下图1所示:图1:主从D触发器三、实验步骤:打开软件,创建一个新的工程,并在工程的目录下创建一个新的VerilogHDL文件。编写设计模块代码:moduleMSDFF(Q,Qbar,D,C);outputQ,Qbar;inputD,C;wireNotC,NotD,NotY,Y,D1,D2,Ybar,Y1,Y2;assignNotD=~D;assignNotC=~C;assignNotY=~Y;assignD1=~(D&C);assignD2=~(C&NotD);assignY=〜(D1&Ybar);assignYbar=〜(Y&D2);assignY1=〜(Y&NotC);assignY2=〜(NotY&NotC);assignQ=〜(Qbar&Y1);assignQbar=〜(Y2&Q);endmodule。编译,若出现错误则修改代码直到0错误。编写测试模块代码:'timescale1ns/1psmoduletb_32;regd;regclk;wireq,qbar;initialclk=0;always#5clk=~clk;initialbegind=0;#7d=1;#4d=0;#9d=1;#11d=0;#20$stop;endMSDFFms_dff(q,qbar,d,clk);endmodule编译,若出现错误则修改代码直到0错误。6.添加仿真所需的测试文件:选择Assignments>Setting>EDAToolSetting>Simulation,打开窗口。在NativeLinksetting下,选择Compiletestbench:标签,单击TestBenches按钮,。单击New,弹出设置窗口,命名Testbenchname,在Filename:处,点击…按钮,添加文件路径;单击Add。连续三次单击OK,返回QuartusII主题窗口。7.仿真:选择Tools>RunSimulationTool>RTLSimulation进行RTL仿真。仿真结果如下图2所示:图2:modelsim仿真波形图在每次clk下降沿时,q值根据d值发生变化,可知结果是正确的。四、实验总结在本次课程设计中,经历了最初的毫无思路,到初步实验、不断出现错误,再逐步修改的三个过程。在此期间,既加深学习了FPGA这门课程的基本知识理论,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论