交通灯控制器的设计EDA课程设计报告书_第1页
交通灯控制器的设计EDA课程设计报告书_第2页
交通灯控制器的设计EDA课程设计报告书_第3页
交通灯控制器的设计EDA课程设计报告书_第4页
交通灯控制器的设计EDA课程设计报告书_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

..大学课程设计说明书名称交通灯控制器的设计院系电子信息工程系班级姓名学号系主任教研室主任指导教师目录第一章绪论31电子设计自动化简介31.1概要31.2EDA数字系统设计41.2.1分析方法4第二章设计要求52设计基本要求52.1电路工作原理5第三章系统的设计63系统设计要求63.1设计思路73.2设计流程73.3系统结构图83.4系统程序93.5仿真与调试14第四章心得体会15参考文献16第一章绪论1电子设计自动化简介1.1概要EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。EDA系统的设计分类根据采用计算机辅助技术的介入程度,可以分为三类:第一类:人工设计方法,这是一种传统的设计方法,从方案的提出到验证和修改均采用人工手段完成,尤其是系统的验证需要经过实际搭试电路完成,花费大、效率低、制造周期长。第二类:借助计算机来完成数据处理、模拟评价、设计验证等部分,由人和计算机共同完成,但由于软件匮乏,该阶段许多工作尚需人工完成。第三类:该阶段的世纪方法称为电子设计自动化,这个阶段发展起来的EDA工具,目的是在设计前期将设计工程师从事的许多高层次设计由工具完成。整个设计过程或大部分设计均有计算机完成。EDA技术发展表现形式<1>CPLD/FPGA系统:使用EDA技术开发CPLD/FPGA,使自行开发的CPLD/FPGA作为电子系统、控制系统、信息处理系统的主体。<2>"CPLD/FPGA+MCU"系统:综合应用EDA技术与单片机技术,将自行开发的"CPLD/FPGA+MCU"作为电子系统、控制系统、信息处理系统的主体。<3>"CPLD/FPGA+专用DSP处理器"系统:将EDA技术与DSP专用处理器配合使用,用"CPLD/FPGA+专用DSP处理器"构成一个数字信号处理系统的整体。<4>基于FPGA实现的现代DSP系统:基于SOPC<aSystemonaProgrammableChip>技术、EDA技术与FPGA技术实现方式的现代DSP系统。<5>基于FPGA实现的SOC片上系统:使用超大规模的FPGA实现的,内含1个或数个嵌入式CPU或DSP,能够实现复杂系统功能的单一芯片系统。<6>基于FPGA实现的嵌入式系统:使用CPLD/FPGA实现的,内含嵌入式处理器,能满足对象系统要求的特定功能的,能够嵌入到宿主系统的专用计算机应用系统。1.2EDA数字系统设计分析方法传统的电路设计方法都是自底向上进行设计的,也就是首先确定可用的元器件,然后根据这些器件进行逻辑设计,完成各模块后进行连接,最后形成系统。在基于EDA技术的系统设计的最重要环节——在系统的基本功能或行为级上对设计的产品进行描述和定义时,我们采用自顶向下分析,自底向上设计的方法。所谓"自顶向下分析",就是指将数字系统的整体逐步分解为各个子系统和模块,若子系统规模较大,则还需将子系统进一步分解为更小的子系统和模块,层层分解,直至整个系统中各子系统关系合理,并便于逻辑电路级的设计和实现为止。1.2.2实现方法1.硬件描述语言编程实现法2.原理图设计实现法3.参数可设置兆功能块实现法4.软的或硬的IP核实现法第二章设计要求2设计基本要求1设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯。变化规律为:东西绿灯亮,南北红灯亮——东西黄灯亮,南北红灯亮——东西红灯亮,南北绿灯亮——东西红灯亮,南北黄灯亮——东西绿灯亮,南北红灯亮……,这样依次循环。2南北方向是主干车道,东西方向是支干车道,要求两条交叉道路上的车辆交替运行,主干车道每次通行时间为35秒,支干车道每次通行的时间为25秒,时间可设置修改。3在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。4要求交通控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿。2.1电路工作原理根据交通灯系统设计要求,可以用一个有限的状态机来实现这个交通灯控制器。根据功能要求,明确两组交通灯的状态,这两组交通灯总共有四种状态,分别可用st0,st1,st2,st3不表示:st0表示主干路绿灯亮,支干路红灯亮;st1表示主干路黄灯亮,支干路红灯亮;st2表示主干路红灯亮,支干路绿灯亮;st3表示主干路红灯亮,支干路黄灯亮;根据上述四种描述列出的状态转换表如下表1所示及交通灯控制器状态转换图如下图1所示:表1交通灯控制器状态转换表第三章系统的设计3系统设计要求1设计一个十字路口的交通灯控制器,能显示十字路口东西、南北、南北左转三个方向的红、黄、绿灯的指示状态。用三组红、黄、绿三种颜色的灯分别作为东西、南北、南北左转三个方向的红、黄、绿灯。变化规律为:南北绿灯亮,南北左转红灯亮,东西红灯亮南北黄灯亮,南北左转红灯亮,东西红灯亮南北红灯亮,南北左转绿灯亮,东西红灯亮南北红灯亮,南北左转黄灯亮,东西红灯亮南北红灯亮,南北左转红灯亮,东西绿灯亮南北红灯亮,南北左转红灯亮,东西黄灯亮南北绿灯亮,南北左转红灯亮,东西红灯亮这样依次循环。2南北方向是主干车道,东西方向是支干车道,要求两条交叉道路上的车辆交替运行,主干车道每次通行时间为35秒,主干转弯车道每次通行时间为20秒,支干车道每次通行的时间为25秒,时间可设置修改。3在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。4要求交通控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿。5>显示器倒计时显示时间3.1设计思路1本交通灯控制器是一个已知主、主左、支干道通行时间的系统,为了满足主、主左、支干道通行时间变化要求,我们可设计一个可预置主、主左、支干道通行时间的交通控制器。2交通灯控制器的电路控制主要包括置数器模块、定时计数器模块、主控制器模块和译码器模块。置数器模块将交通灯的点亮时间预置到置数电路中。计数器模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。核心部分是主控制模块。3.2设计流程根据设计要求和系统所具有功能,并参考相关的文献资料,经可行方案设计画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如下图〔2所示:CLKCLK交通灯控制及计时模块扫描显示模块LED显示图〔2交通灯控制器系统框图3.3系统结构图3.4系统程序控制器电路程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYledcontrolIS PORT<reset,clk,urgen :IN STD_LOGIC; state :OUT STD_LOGIC_VECTOR<2DOWNTO0>; sub,set1,set2,set3:OUT STD_LOGIC>;ENDledcontrol;ARCHITECTUREaOFledcontrolIS SIGNALcount:STD_LOGIC_VECTOR<6DOWNTO0>; SIGNALsubtemp:STD_LOGIC;BEGINsub<=subtempAND<NOTclk>; statelabel: PROCESS<reset,clk>BEGINIFreset='1'THEN count<="0000000"; state<="000"; set2<='1';ELSIFclk'eventANDclk='1'THENIFurgen='0'THENcount<=count+1;subtemp<='1';ELSEsubtemp<='0';ENDIF; IFcount=0thenstate<="000";set1<='1';set2<='1';set3<='1'; ELSIFcount=35thenstate<="001";set1<='1'; ELSIFcount=40THENstate<="010";set1<='1';set2<='1'; ELSIFcount=60THENstate<="011";set2<='1'; ELSIFcount=65THENstate<="100";set2<='1';set3<='1'; elsifcount=90THENstate<="101";set3<='1'; ELSIFcount=95THENcount<="0000000";ELSEset1<='0';set2<='0';set3<='0';ENDIF; ENDIF;ENDPROCESSstatelabel;ENDa;输出显示电路程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYledshowIS PORT< clk,urgen :IN STD_LOGIC; state :IN STD_LOGIC_VECTOR<2DOWNTO0>; sub,set1,set2,set3:IN STD_LOGIC; eg1,ey1,er1,edg2,edy2,edr2,ng1,ny1,nr1:OUT STD_LOGIC; led1,led2 :OUT STD_LOGIC_VECTOR<7DOWNTO0>>;ENDledshow;ARCHITECTUREaOFledshowIS SIGNALcount1,count2,count3:STD_LOGIC_VECTOR<7DOWNTO0>; SIGNALsetstate1,setstate2,setstate3:STD_LOGIC_VECTOR<7DOWNTO0>; SIGNALetg1,ety1,etr1,edirr1,edirg1,ediry1,norg2,nory2,norr2:STD_LOGIC;BEGINled1<="00000000"WHENurgen='1'ANDclk='0'ELSE count1WHENstate="000"ELSE count1WHENstate="001"ELSE count2WHENstate="010"ELSE count2WHENstate="011"ELSE count2WHENstate="100"ELSE count1;led2<="00000000"WHENurgen='1'ANDclk='0'ELSE count3WHENstate="000"ELSE count3WHENstate="001"ELSE count3WHENstate="010"ELSE count3WHENstate="011"ELSE count3WHENstate="100"ELSE count3WHENstate="101"ELSE count3;etg1<='1'WHENstate="000"ANDurgen='0'ELSE'0'; ety1<='1'WHENstate="001"ANDurgen='0'ELSE'0';etr1<='1'WHENstate="010"orurgen='1'ORstate="011"ORstate="100"ORstate="101"ORstate="110"ORstate="111"ELSE'0';edirg1<='1'WHENstate="010"andurgen='0'ELSE'0';ediry1<='1'WHENstate="011"andurgen='0'ELSE'0';edirr1<='1'WHENstate="000"ORurgen='1'ORstate="001"ORstate="100"ORstate="101"ORstate="110"ORstate="111"ELSE'0'; norg2<='1'WHENstate="100"ANDurgen='0'ELSE'0';nory2<='1'WHENstate="101"andurgen='0'ELSE'0';norr2<='1'WHENstate="000"ORstate="001"ORstate="010"ORstate="011"ORstate="110"ORstate="111"ORurgen='1'ELSE'0';setstate1<="00110101"WHENstate="000"ELSE "00000101"WHENstate="001"ELSE "00110000"WHENstate="101"ELSE "00100000";setstate2<="00010101"WHENstate="000"ELSE "00100000"WHENstate="010"ELSE "00000101"WHENstate="011"ELSE "00110000"WHENstate="100"ELSE "00000000";setstate3<="01100101"WHENstate="000"ELSE "00100101"WHENstate="100"ELSE "00000101"WHENstate="101"ELSE "01100101";label3:PROCESS<sub>BEGINIFsub'eventANDsub='1'THENIFset3='1'THENcount3<=setstate3;elsifcount3<3downto0>="0000"thencount3<=count3-7;ELSEcount3<=count3-1;END

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论