VHDL语言进行集成电路设计课件_第1页
VHDL语言进行集成电路设计课件_第2页
VHDL语言进行集成电路设计课件_第3页
VHDL语言进行集成电路设计课件_第4页
VHDL语言进行集成电路设计课件_第5页
已阅读5页,还剩29页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、概论 本章阐述在VHDL程序设计完成之后,怎样进行处理,才能完成集成电路设计的过程。计算机的应用促进了新学科的诞生。 EDA 工程就是以计算机为工作平台,以EDA 软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以ASIC、SOC芯片为设计目标,以电子系统设计为应用方向电子产品自动化设计过程。现代电子设计方法是现代电子设计的基础,是电子线路原理设计,电子系统整机设计,集成电路芯片设计的方法学。现代电子设计方法的研究目标主要是怎样用VHDL语言设计超大规模专用集成电路(ASIC),怎样对一片超大规模集成电路进行功能划分,VHDL语言描述、逻辑综合、仿真分析、形式验证、设计实

2、现是现代电子设计方法要解决的主要问题。 概论 本章阐述在VHDL程序设计完成之后,怎样进电子设计技术的进展 经典电子设计方法的特征采用自下而上的(Bottom UP)的设计方法。:采用通用元器件,每个元器件的功能都是确定的,利用这些元器件搭建目标功能模块。设计者必须对成千上万种通用元器件的性能特点熟练掌握,并且元器件容易购到,成本较低。 在硬件模块电路构成之后才能进行硬件、软件调试。如果设计过程中的问题到后期才会被发现,这就可能造成推翻设计重新开始的危险,使设计周期大大加长,延误了电子产品的按时推出。 设计文件以电路原理图为核心。 电子设计技术的进展 经典电子设计方法的特征EDA工具 电子设计

3、技术由于计算机技术的发展而产生了巨大变化。由于电子科学是计算机科学的基础,计算机学科的发展离不开电子学科的支持,但是计算机科学又反作用于电子科学,加速了电子学科的发展。这样构成了一个闭环正反馈系统,使的电子设计技术很快由计算机辅助设计(ECAD)阶段进入了电子设计自动化(EDA)阶段。 EDA工具 电子设计技术由于计算机技术的发展而产生了EDA工程概念EDA工程概念EDA工程领域 硬件描述语言EDA工具EDA理论基础实现载体设计方法学流 片EDA工程领域 硬件描述语言EDA工具EDA理论基础实现载体 EDA 工程的实现载体-FPGA器件 一个电子系统可能由数万个中小规模集成电路构成,这就带来了

4、体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。 其优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量的产品开发。分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程专用集成电路)。 FPGA器件 EDA 工程的实现载体-FPGA器件 FPGA器件 EDA 工程的设计语言-VHDL语言 VHDL是一种全方位的硬件描述语言,支持系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构描述、数据流描述和行为描述及

5、三种形式的混合描述。VHDL 语言覆盖了多种硬件语言的功能,“自顶向下”或“自底向上”的电子系统设计过程都可以用VHDL语言来完成。 VHDL语言 EDA 工程的设计语言-VHDL语言 VHDL语言设计描述和系统建模 IP设计和SOC设计 将整个电子系统集成在同一芯片上,称为片上系统(SOC)。 产品设计日益复杂,产品的生命周期不断缩短,因而要求设计出更新、更快、更廉价的产品。在设计印刷电路板时采用IP模块设计方法,以及提供顺畅且可靠的设计流程至关重要。即:项目设计ASIC设计IP模块设计 PCB设计 仿真设计测试设计。在PCB设计之前先设计ASIC芯片,尽量减少板上元件数目,提高集成度,提高

6、可靠性。 设计描述和系统建模 IP设计和SOC设计 设计描述和系统建模 软IP核与硬IP核软IP是用硬件描述语言设计的具有一定电路功能的程序模块。 硬IP是在软IP的基础上,结合半导体工艺、设计规则而生成的集成电路版图。 设计描述和系统建模 软IP核与硬IP核软、硬IP的概念 软、硬IP的概念 设计复用方法 IP(intelligent property)模块设计不仅是集成电路设计公司的重要任务,也是EDA工具开发公司的实力表现,一套EDA工具,它提供的IP模块越丰富,用户的设计就越方便、越容易。 关于应该使用哪种类型的IP至今仍有争议。究竟是使用需要VHDL代码进入综合和布局布线过程的软IP

7、,还是使用芯片中物理掩膜布局已得到证明的硬IP,要根据设计项目的具体情况来确定。 设计复用方法 IP(intelligent propertyIP复用流程硬IP的复用流程 软IP的复用流程 IP复用流程硬IP的复用流程 软IP的复用流程 设计综合 高层次综合 设计综合 高层次综合 高层次综合范畴 高层次综合流程 高层次综合范畴 高层次综合流程 设计仿真 仿真(emulation),利用计算机硬件平台,EDA工程设计环境,搭建虚拟的设计系统,在计算机上进行波形分析,时序分析,功能验证的过程称为仿真。 EDA工具的不完备,设计项目的修改,描述文件的错误等原因,都使设计项目需要仿真、验证。 仿真的层

8、次:电路级仿真;逻辑仿真;开关级仿真;寄存器传输级仿真;高层次仿真。设计仿真 仿真(emulation),利用计算机硬件平台,E仿真系统构成 仿真系统构成 常用仿真方法 在EDA工程领域,仿真分为功能仿真和时序仿真。前者验证设计模块的逻辑功能,后者用于验证设计模块的时序关系;无论是功能仿真,还是时序仿真,其仿真方法有两种: 交互式仿真方法 测试平台法 常用仿真方法 在EDA工程领域,仿真分为功能仿真和集成系统设计方法 在同一个芯片上集成了控制部件(微处理器、存储器、I/O接口)和执行部件(微型开关,微机械),能够自成体系,独立工作的芯片称为系统芯片 片上系统的设计方法 : 1.系统设计 ; 2

9、.综合 (逻辑综合 /行为综合 ); 3.分层设计方法 集成系统设计方法 在同一个芯片上集成了控制部件(微处理器、存可编程系统芯片设计 1.可编程系统芯片结构 复杂的FPGA结构从系统集成、系统存储、系统时钟和系统接口方面满足片上系统设计要求。 2.可编程系统级芯片的设计方法 可编程逻辑器件(PLD)在规模、速度、嵌入式处理器内核及其它IP供应等方面的进步,可以实现系统级可编程芯片的设计。这需要一种结构清晰的系统级方法来处理系统级设计复杂性。可编程系统芯片设计 1.可编程系统芯片结构 片上系统的测试方法 传统ATPG技术 新的DFT技术 -内置式自测(BIST) 片上系统的测试方法 传统ATP

10、G技术 片上系统的测试方法 嵌入式SRAM典型BIST电路框图 片上系统的测试方法 嵌入式SRAM典型BIST电路框图 片上系统设计关键(1) IP核重用 (2)形式验证 (3)测试校准 (4)可再配置计算 (5)布局规划 (6)软硬件协同验证 片上系统设计关键(1) IP核重用 集成电路设计的实验室实现方法 由语言描述、符号描述、几何描述等不同设计形式做出的项目设计文档,最后转化为硬件实物的过程,称为设计实现。 设计和实现的关系 集成电路设计的实验室实现方法 由语言描述、符号描述、几何描述基于VHDL设计描述 我们用一个需要特定资源的设计实体作为设计实现的举例。例 四位计数器。 librar

11、y ieee;use ieee.std_logic_1164.all;use work.std_arith.all;entity counter is port( clk, reset: in std_logic; count: buffer std_logic_vector(3 downto 0);end counter;architecture archcounter of counter isbeginupcount: process (clk, reset) begin if reset =1then count = 1010; elsif (clkevent and clk=1) t

12、hen count = count + 1; end if; end process upcount;end archcounter; 基于VHDL设计描述 我们用一个需要特定资源的设计实体作为设基于FPGA器件的实现载体 可编程逻辑器件成为计算机应用、通信技术、自动控制、仪器仪表领域广受技术人员欢迎的器件,是科学实验、样机试制、小批量生产的最佳选择,是ASIC芯片设计的实现载体之一。基于FPGA器件的实现载体 可编程逻辑器件成为计算机应用、通设计的实验室实现流程 设计的实验室实现流程 集成电路的版图设计 物理设计 集成电路的版图设计 物理设计 CMOS电路工艺基础 CMOS电路工艺基础 集成

13、电路版图全定制设计方法 1.全定制设计流程: 版图编辑(EDIT) 检查验证 2集成电路版图设计的一些考虑 集成电路版图全定制设计方法 1.全定制设计流程:版图综合 (1)布图规划(floorplan) (2)布局(Placement) (3)布线(routing) (4)版图参数提取(Layout Parameter extract) (5)一致性检查(Layout VS Schematic) (6)后仿真(Post Simulation) 版图设计流程 版图综合 (1)布图规划(floorplan) 版图设计流程集成电路设计的工业实现 1. 半导体产业模式的转变 2. 无晶圆厂的ASIC公

14、司(Fabless) 3. 制造代工业(Fountry)4. IP设计业(chipless) 5. 设计代工业(design foundry)6.设计服务业(design service) 集成电路设计的工业实现 1. 半导体产业模式的转变 多项目晶圆流片 1 多项目晶圆的概念 (1)MPW服务业务的社会需求 (2)MPW服务业务的宗旨、作用 (3)MPW服务的发展状况 (4)MPW现状与存在的问题 2 多项目晶圆的实施过程 (1)开发多项目晶圆计划的目的 (2)MPW技术 (3)NRE的概念 (4)MPW服务体系建立的条件 (5)MPW计划对设计资源的整合 多项目晶圆流片 1 多项目晶圆的概念 多项目晶圆的发展 与国外MPW计划相比,我国多项目晶圆计划也经历了从教育研究界扩展到产业界的过程。中国集成电路产业正处于成长期,初创型中小企业大量涌现,对MPW计划的需求不断增加。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论