模60计数器VHDL设计实验_第1页
模60计数器VHDL设计实验_第2页
模60计数器VHDL设计实验_第3页
模60计数器VHDL设计实验_第4页
模60计数器VHDL设计实验_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验报告专业班级姓名学号成绩评定考核 内容实验 表现实验 报告实验成果 或答辩综合评 定成绩成绩电气与信息学院和谐勤奋求是创新实验教学考核和成绩评定办法课内实验考核成绩,严格按照该课程教学大纲中明确规定的比重执行。实验成绩不合格者,不能参 加课程考试,待补做合格后方能参加考试。单独设立的实验课考核按百分制评分,考核内容应包括基本理论、实验原理和实验。实验考核内容包括:1)实验预习;2)实验过程(包括实验操作、实验记录和实验态度、表现)3) 实验报告;权重分别为0.2、0.4、0.4;原则上根据上述三个方面进行综合评定。学生未取得1) 和2)项成绩时,第3)项成绩无效。实验指导教师应严格按照考核

2、内容分项给出评定成绩,并及时批改实验报告,给出综合成绩,反馈 实验中出现的问题。实验成绩在教师手册中有记载。实验报告主要内容实验目的实验仪器及设备实验原理实验步骤实验记录及原始记录数据处理及结论思考题实验体会(可选项)注:1.为了节省纸张,保护环境,便于保管实验报告,统一采用A4纸,实验报告建议双面打印(正文采用宋体五号字)或 手写,右侧装订。实验类别指验证、演示、综合、设计、创新(研究)、操作六种类型实验。验证性实验:是指为了使学生巩固课程基本理论知识而开设的强调演示和证明,注重实验结果(事实、概念或理论) 的实验。综合性实验:是指实验内容涉及本课程的综合知识或本课程相关的课程知识的实验。设

3、计性实验:是指给定实验目的、要求和实验条件,由学生自行设计实验方案并加以实现的实验。实验题目模60计数器VHDL设计实验实验室一教 408实验时间2014年 11 月27 日实验类别验证同组人数2、实验目的1、掌握计数器的设计原理和逻辑功能。2、学习用原理图输入法设计数字电路的方法和过程。3、掌握MAX+PLUSH开发系统编译、仿真和下载的过程。二、实验原理计数分同步计数器和异步计数器,本设计可以采用同步计数方式。在时钟脉冲的作用下,计数器进行 加1计数操作,12个脉冲后计数器清零并循环计数。三、实验内容1.利用语言输入法设计模60计数器进行调试。-A asynchronous reset;e

4、nable up;8421bcd counter-module=60;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY cntm60v ISPORT(en :IN std_logic;clear:IN std_logic;clk :IN std_logic;cout :out std_logic;qh:buffer std_logic_vector(3 downto 0);ql :buffer std_logic_vector(3 downto 0);END cntm60v;ARCHITECTURE behave OF cntm60v ISBEGIN cout=1when(qh=0101”and ql=1001and en=1)else0;PROCESS(clk,clear)BEGINIF(clear=0)THENqh=0000;ql=0000;ELSIF(clkEVENT AND clk=1)THENif(en=1)thenif(ql=9) thenql=0000;if(qh=5)then qh=0000;elseqh=qh+1;end if;elseql=ql+1;end if;end if;-end

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论