课程14元件(components)的设计课件_第1页
课程14元件(components)的设计课件_第2页
课程14元件(components)的设计课件_第3页
课程14元件(components)的设计课件_第4页
课程14元件(components)的设计课件_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、课程14元件(components)的设计课程14元件(components)的设计國立宜蘭大學電機工程系1. 相同且重複使用的程式函數(Function) 程序(procedure) 包 裝 成套件(package) 元件(component)國立宜蘭大學電機工程系1. 相同且重複使用的程式函數(Fun2.元件的設計屬結構性描述元件資料庫的設計類似於IC元件的設計,它與Port Map結合,可連接所有的元件,讓我們利用現有的Component ,像堆積木一般可累積出複雜的電路.國立宜蘭大學電機工程系74042.元件的設計屬結構性描述元件資料庫的設計類似於IC元件的設7404國立宜蘭大學電機工

2、程系3. VHDL(硬體描述語言)基本架構與IC關係I/O腳位定義IC編號名稱電路功能描述Library描述Entity描述Architecture描述7404國立宜蘭大學電機工程系3. VHDL(硬體描述語言)(1)、元件宣告Component Declaration :Component Component_nameport (Signal_name 1 :Data type;Signal_name 2 : Data type;:Signal_name n : Data type);end Component;國立宜蘭大學電機工程系4. 使用元件描述時,有兩個重要的步驟必須完成:(1)、使

3、用元件的宣告Component Declaration。(2)、各元件間的連線對應Mapping。元件的宣告為“;”國立宜蘭大學電機工程系4. 使用元件描述時,有兩個重要的步驟component AND_2 port( i1 : in STD_LOGIC; i2 : in STD_LOGIC; o1 : out STD_LOGIC ); end component;及閘元件宣告範例國立宜蘭大學電機工程系component AND_2及閘元件宣告範例國立宜蘭大學電(a)、名稱對應Mapping By name: LABEL1 :Component_name port map(Signal_nam

4、e 1 = Signal_name 1,Signal_name 2 = Signal_name 2,:Signal_name n = Signal_name n) ;end Component;國立宜蘭大學電機工程系元件的連線對應為“,”(2).元件連線對應Mapping:可分為以下兩種方法,語法如下:國立宜蘭大學電機工程系元件的連線對應為(2).元件連線對應M 1、LABEL :元件的標籤。2、Component_name:所使用元件的名稱,須 與現成之元件名稱相同。3、port map( ):括號內之敘述是用來描述被 叫用的現成元件內部接腳與實際電路內 部元件接腳名稱,左邊為現成元件的接

5、腳名稱,右邊的為硬體電路的接腳名稱 ,中間以“ = ”符號連接。國立宜蘭大學電機工程系註解說明: 1、LABEL :元件的標籤。國 (b)、位置對應Mapping By position: Label1:Component_name port map ( Signal1, Signal2,Signal n ); 國立宜蘭大學電機工程系註解說明:1、LABEL :元件的標籤。2、Component_name:所使用元件的名稱,須 與現成之元件名稱相同。3、port map( ):括號內之敘述是用來描述被 叫用的現成元件內部接腳與實際電路內 部port接腳中間的連線對應關係,因為真 實對應,故需按

6、接腳順序去連接。國立宜蘭大學電機工程系註解說明:1、LABEL :元件的標IC1: AND_2 port map (a,b,y) ;(b).及閘元件連線位置對應範例(a).及閘元件連線名稱對應範例IC1: AND_2 port map ( a = i1 , b = i2 , o1 = y ) ;國立宜蘭大學電機工程系IC1: AND_2 port map (a,b,y) 5.元件(component)的宣告位置元件(component)的位置在Package套件內在主程式內在Library內在 architecture內(宣告部分)國立宜蘭大學電機工程系5.元件(component)的宣告位置

7、元件(compone6. 四位顯示解碼器IC1元件的輸入輸出規劃設計國立宜蘭大學電機工程系6. 四位顯示解碼器IC1元件的輸入輸出規劃設計國立宜蘭大學7. 解碼器IC1元件的主程式檔 LED_display1.vhd國立宜蘭大學電機工程系7. 解碼器IC1元件的主程式檔 LED_display1.國立宜蘭大學電機工程系國立宜蘭大學電機工程系國立宜蘭大學電機工程系8. 解碼器IC1的程式檔 LED_display1.vhd的 RTL方塊圖國立宜蘭大學電機工程系8. 解碼器IC1的程式檔 LED_d國立宜蘭大學電機工程系練習題目14:LED顯示解碼器IC1元件的設計範例1. Spartan3發展版版面配置規劃 自由計數十六進位顯示閃爍顯示第三位圓點亮其他滅國立宜蘭大學電機工程系練習題目14:LED顯示解碼器IC1元國立宜蘭大學電機工程系2.Component主程式檔放置的位置 :與 ucf檔相同位置解碼器IC1的程式檔 LED_display1.vhd放置位置 :國立宜蘭大學電機工程系2.Component主程式檔放置的位國立宜蘭大學電機工程系3. 主程式exa16.vhd程式檔元件宣告國立宜蘭大學電機工程系3. 主程式exa16.vhd程式檔國立宜蘭大學電機工程系元件連線國立宜蘭大學電機工程系元件連線國立宜蘭大學電機工程系4.

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论