下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、- Module Name:PoleParallel - Behavioral-library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARILL;use IEEE.STD_LOGIC_SIGNED.ALL;-改为有符号数运算数据包entity PoleParallel isPort ( rst : inSTD_LOGIC; -低电平有效clk : inSTD_LOGIC; -2KHzYin : inSTD_LOGIC_VECTOR (11 downto 0);-数据输入频率为 2KHzYout : outSTD_LOGIC_VE
2、CTOR (25 downto0);-全精度运算需 26bit 数据end PoleParallel;architecture Behavioral of PoleParallel is-有符号数乘法器 IP 核-需要注意的是,更换目标器件时,需要重新生成 IP 核component multc12port (-滤波器系数为 12bit 量化a: IN std_logic_VECTOR(11 downto 0);-输入数据为 18bit 量化b: IN std_logic_VECTOR(11 downto 0);-由于滤波器系数没有达到满量程,只用 23bit 数据即可精确表示乘法结果p: O
3、UT std_logic_VECTOR(22 downto 0);end component;-定义具有 7 个元素,18bit 的器,输入数据type YinReg is array (6 downto 0) of STD_LOGIC_VECTOR(11downto 0);-定义具有 8 个元素,29bit 的器,乘法结果typeMultRegisarray(7downto0)ofSTD_LOGIC_VECTOR(22 downto 0);signal Yin_Reg: YinReg;signal Mult_Reg: MultReg;-将滤波器系数成常量,该系数由仿真设计获取-constan
4、t c0: std_logic_vector(7 downto 0):=X200;- 512constant c1: std_logic_vector(11 downto 0):=XC66;- -922constant c2: std_logic_vector(11 downto 0):=X48B;- 1163constant c3: std_logic_vector(11 downto 0):=XCD5;- -811constant c4: std_logic_vector(11 downto 0):=X19C;- 412constant c5: std_logic_vector(11 do
5、wnto 0):=XF86;- -122constant c6: std_logic_vector(11 downto 0):=X018;-24constant c7: std_logic_vector(11 downto 0):=XFFE;-2begin-将输入数据存入移位寄存器 Xin_Reg 中PYin: pros(rst,clk)beginif rst=0 thenfor i in 0 to 6 loopYin_Reg(i)0);end loop;elsif rising_edge(clk) then-与串行结构不同的是,此处不需要判断计数器状态for i in 0 to 5 loop
6、Yin_Reg(i+1)= Yin_Reg(i);end loop;Yin_Reg(0)=Yin;end if;end pros PYin;-IP 核的参数参见工程目录下的 multc12.xco 文件Umult1: multc12 port map(c1,Yin_Reg(0),Mult_Reg(1);Umult2: multc12 port map(c2,Yin_Reg(1),Mult_Reg(2);Umult3: multc12 port map(c3,Yin_Reg(2),Mult_Reg(3);Umult4: multc12 port map(c4,Yin_Reg(3),Mult_Reg(4);Umult5: multc12 port map(c5,Yin_Reg(4),Mult_Reg(5);Umult6: multc12 port map(c6,Yin_Reg(5),Mult_Reg(6);Umu
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 店长年度感悟总结
- 建议书范文(共2篇)
- 模板施工专项方案范例
- 租房合同简易版
- (一模)长春市2025届高三质量监测(一)物理试卷
- 五四制六年级英语单词表
- 山东省聊城市(2024年-2025年小学五年级语文)人教版随堂测试(上学期)试卷及答案
- 2024年福特小型车项目资金筹措计划书代可行性研究报告
- 2024年盘碟托盘项目资金需求报告代可行性研究报告
- 2024-2025学年天津市南开中学高三上学期10月月考化学试题及答案
- 初中生物说课课件(精选优秀)PPT
- T∕CSAE 237-2021 重型汽车实际行驶污染物排放测试技术规范
- ETL基础及常用技术培训
- 医疗机构电子化注册信息系统(机构版)用户手册
- 《工作周报管理制度管理办法》
- 最新部编版语文五年级上册第六单元教案
- 消防设施设备及器材
- 胎心监护专家共识
- 二年级上册数学试题判断题专项训练苏教版
- 《月历中的数学问题》教学设计
- 好书推荐——《三毛流浪记》PPT通用课件
评论
0/150
提交评论