四位双向移位寄存器_第1页
四位双向移位寄存器_第2页
四位双向移位寄存器_第3页
四位双向移位寄存器_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验五四位双向移位寄存器一、实训目的1巩固编译、仿真VHDL文件的方法。掌握VHDL程序顺序语句的应用。掌握四位双向移位寄存器的工作原理。二、实训器材计算机与QuartusII工具软件。三、实训指导(一)实训原理四位双向移位寄存器真值表如表5-1所示。表5-1四位双向移位寄存器的真值表输入输出CRM1M0DSRDSLCPD3D2D1D0Q3Q2Q1Q00XXXXXXXXX00001XXXX0XXXXQ3Q2Q1Q0111XXfd3d2d1d0d3d2d1d01011XfXXXX1Q3Q2Q11010XfXXXX0Q3Q2Q1110X1fXXXXQ2Q1Q01110X0fXXXXQ2Q1Q001

2、00XXXXXXXQ3Q2Q1Q0(二)实训步骤设计输入VHDL文件(1)建立工程项目。(2)建立VHDL文件。(3)设计输入VHDL文件,可用IF语句或CASE语句等顺序语句设计。VHDL代码如下:LIBRARYieee;USEieee.std_logic_1164.ALL;ENTITYYWJCQ4ISPORT(M:INSTD_LOGIC_VECTOR(1DOWNTO0);D:INSTD_LOGIC_VECTOR(3DOWNTO0);CR,CP,DSR,DSL:INSTD_LOGIC;Q:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0);ENDYWJCQ4;ARCHITECT

3、UREWOFYWJCQ4ISBEGINPROCESS(CR,CP,DSR,DSL,M,D)BEGINIFCR=0THENQQQQQ=Q;ENDCASE;ENDIF;ENDPROCESS;ENDW;编译仿真VHDL文件编译VHDL文件。如果有错误,检查并纠正错误,直至最后通过。仿真VHDL文件。认真核对输入/输出波形,检查设计的功能是否正确四位双向移位寄存器的仿真波形图如图5-1所示。Corr(jfert:flFl即M-RzhSi川i列尊SiirdctcnF即吋-血衣加蛾加個AinQsiDSRDELQ00aa:tilJl01ID|】:YId:(fYdY2厂MUM刁血口00丫1山妣山/iilCWDLfD:anT;(l:aH丫el触山f山叮lidotnc)l叩。图5-1四位双向移位寄存器仿真波形图

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论