EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)_第1页
EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)_第2页
EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)_第3页
EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)_第4页
EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验14选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。2学习使用原理图进行设计输入。3初步掌握器件设计输入、编译、仿真和编程的过程。4学习实验开发系统的使用方法。、实验仪器与器材1 EDA开发软件一套2.微机.一-台3实验开发系统.一-台4打印机.一-台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验 开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。本实验使用Quartus II软件作为设计工具,要求熟悉Quartus II软件的使用环境和

2、基本操作,如设计输入、编译和适配的过程等。实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。 例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚 锁定以及编程下载的方法等。四、实验要求完成4选1数据选择器的原理图输入并进行编译;对设计的电路进行仿真验证;编程下载并在实验开发系统上验证设计结果。五、实验结果管脚分配:实验2 四位比较器一、实验目的设计四位二进制码比较器,并在实验开发系统上验证。学习层次化设计方法。二、实验仪器与器材 EDA开发软件一套.微机一台实验开发系统一台打印机一台其它器件与材料若干4位二进制码 A3A2A1A0和三、实验

3、说明本实验实现两个 4位二进制码的比较器,输入为两个B3B2B1B0,输出为 M(A=B, G(AB)和L (Acom2) then G=;M= ;L= O;elsif(comb1comb2) then M=1;G= O;L=else L=T;G= 0;M*lVTri(dBlP|1J Pt 1 IT1列刿TH (d7 B2IrEP哄IEIJMD言伽冲mg1 SO沁171EJj=IJhVTTl d* JJlitpLi_A&2l17 MCdIf试验3并行加法器设计一、试验目的设计一个4位加法器。体会用VHDL进行逻辑描述的优点。熟悉层次化设计方法。二、试验仪器与器材EDA开发软件一套微机一台试验开

4、发系统一台打印机一台其他器材和材料若干三、试验说明本试验实现一个 4位二进制数加法器,其功能框图如图所示。试验时用高低电平开关 作为输入,用数码管作为输出(或用发光二极管),管脚锁定可根据试验系统自行安排。全加器功能框图四、实验要求用硬件描述语言编写4位二进制数全加器的源文件;对设计文件进行编译;仿真设计文件;编程下载并进行试验验证。五、试验结果4位二进制全加器的源文件:library ieee;use ieee.std_logic_1164.all;en tity adder4 isport(a,b: in std_logic_vector(3 downto 0);cin: in std_l

5、ogic_vector(3 dow nto 0);sum: out std_logic_vector(3 dow nto 0);count: out std_logic);end adder4;architecture behavioral of adder4 isbeginp1:process(a,b,ci n)variable vsum: std_logic_vector(3 dow nto 0); variable carry: std_logic;begincarry:=ci n;for i in 0 to 3 loopvsum(i):=(a(i) xor b(i) xor carry

6、;carry:=(a(i) and b(i) or (carry and (a(i) or b(i); end loop;sum=vsum;coun t:d2.3rh,(d M呷.VTT. lid -.3 v洱r畑 JiaLrr. (di,3lvrTL(d m*T_ 仙im1Ffll 戦 1U 3irqlJDuTpJtPlj|yf?35ini. D|OLtpiiPUUE3(1 叮”卜 “ ttkJTiiPBIJ2Flll AO :2叮jn3.DjlOJEpd:Br_u:1J *1 . iTT. (| 换Lm 3LM力 L*_ “实验4计数器设计一、实验目的HDL描HDL语言计数器是实际中最为常

7、用的时序电路模块之一,本实验的主要目的是掌握使用 述计数器类型模块的基本方法。二、实验仪器与器材EDA开发软件一套微机一台3实验开发系统一台4打印机一台其他器材与材料若干三、实验说明计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或 完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。四、实验要求1设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。编制仿真测试文件,并进行功能仿真。下载并验证计数器功能。4为上述设计建立元件符号。5.在上述基础上分别设计按8421BCD码和二进制计数的100进制同步计数器。五、实验结果十进制计数器程序:library

8、 ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity coun ter10 isport(e n, reset,clk:i n std_logic;q:buffer std_logic_vector(3 dow nto 0);co:out std_logic);end coun ter10;architecture behav of coun ter10 isbeginprocess(clk,e n)beginif clkeve nt and clk=1 the nif reset=1 then

9、q=0000;elsif en=1 the nif q1001 then q=q+1;else q=0000;end if;end if;end if;end process;cooutsig noutsig noutsig noutsig noutsig noutsig noutsig noutsig noutsig noutsig noutsig n1仿真波形图:管脚分配:匸ftnniLr|CirGrcqs1皿札K pF:也刘ni一 WF灵学MIV .3 3-WrBTH 嗣3 3-vi -Ti i:dZX-说WIT wiJuJt口冋理K 4i -“暦吓创g:n32 讷闻pg;iFQ i V

10、t/TTl (rl1:r+lWQ沖】t附唧岀wimL#皿 q3xA?ntPH.V22力:比m6B6._S13 oTTKd.OUtsUlPU_AAWM.jjj已Lt._43 土WL 忖世讪FCMjMLK-NJ S LVTU(dF 闻JpgpnUOHZM才Ir冒呦3 a-wiTTi (da 井CifqJrpru AFa枣mEBE;13 i-vi/m (d咨“則皿丄PLg越m!.5tEf勺 3hWTTl“w iMPE 5J- .01M- W.: mmi m* apcupaPLJ押 lli J:=降V3 3 VrTTl 训W qR】PC4JEi i4= rl8ESJS 3 VIVTH dvuyrn(d

11、实验5巴克码发生器一、实验目的1实现一个在通信领域中经常使用的巴克码发生器。2掌握用大规模可编程逻辑器件实现时序电路的方法。二、实验仪器与器材EDA开发软件一套2.微机一台实验开发系统一台打印机一台其它器件与材料若干三、实验说明巴克码发生器在数据通信、雷达和遥控领域有相当广泛的应用。它能自动产生周期性的序列码。本实验要求产生的序列码信号为(1110010),可以用寄存器或同步时序电路实现。为了能够通过实验开发系统验证试验结果,可以使用两个输入端,其中一个输出端同时输出巴克码,另一个输出端输出节拍。巴克码发生器的功能框图如图所示。四、实验要求1.写出全部设计文件。编写测试向量,进行功能仿真。下载

12、并用实验板验证。五、实验结果巴克码发生器程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_ un sig ned.all;en tity back isport(clk,reset: in std_logic; dout1,dout2:out std_logic); end back;architecture behave of back is sig nal coun t7:i nteger range 0 to 6;beginprocess(clk,reset)beginif reset=1 the n coun t7=0; elsif clkeve nt and clk=1 the n if coun t76 the ncoun t7=co un t7+1;

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论