过程装备与控制工程中英文对照外文翻译文献_第1页
过程装备与控制工程中英文对照外文翻译文献_第2页
过程装备与控制工程中英文对照外文翻译文献_第3页
过程装备与控制工程中英文对照外文翻译文献_第4页
过程装备与控制工程中英文对照外文翻译文献_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 中英文对照外文翻译文献(文档含英文原文和中文翻译)译文:半导体制造过程控制和监测:工厂全框架摘要 半导体行业已经开始了从 200 毫米到 300 毫米的晶片技术的过渡,以提高制造效率,降低制造成本。这些技术变革展出现了优化设计下一代工厂控制系统的独特的机会。本文首先提出为 300毫米设备和计量工具和材料处理高度自动化的系统在全工厂范围分层控制的框架。现有相关运行的技术在工厂控制范围内通过了审查和分析。过程和计量数据的监测,通过是举例来说明的。缺失的部分,作为未来研究和发展的方向而被指出。结束语附在文章末尾。2005 年由 Elsevier 有限公司出版关键词 半导体制造 波段范围内的控制 电

2、气参数控制 运行控制 故障检测和分类 计量数据监控1 导言半导体行业已开始从 200 毫米的技术过渡到 300 毫米转换,以提高生产效率,降低 制造成本。随着这种转变,300 毫米的资金的开支为 200 毫米的一倍。(一个生产 200毫米厂的费用超过 10 亿美元,而 300 毫米晶圆厂的费用超过 20 亿。)其他技术变革包括:单晶片加工能力,而不是批量业务营运能力;全自动化物料处理系统(AMHS)的跨海湾和内湾运输;综合计量,以便及时控制;过程控制和故障诊断的高度自动化。由于新时代的工厂资本高度密集,工厂的关键是保持高效率的运作,减少设备停机的时间,优化高品质产品的产量。国际技术路线图 明确

3、说明工厂的信息和控制系统是42一项重要的技术,是减少周期时间提高利润。技术变革预示着为新时代工厂优化设计的过程控制系统的独特机会。缺乏现场传感器提供的反馈控制和优化晶圆状态的实时信息是半导体制造控制业长久的挑战。但幸运的是,近期计量技术 的发展提供了改进及时性和测量数据的作用44性的机会。通常一个现代化的工厂,在半导体制造持续的挑战控制是至关重要的现场传感器提供的缺乏,晶圆的实时状态信息反馈的控制和优化。最近,推进计量技术 提供44了一个机会,改善及时性和实用性测量的数据。通常一个现代化的工厂具有相当多的的测量数据可供分析和控制:(1)在工具层面,实时数据反映了设备的健康状况和提供反馈并实时控

4、制;(2)综合测量和在线测量数据的几何尺寸可进行后期处理,有轻微的计量延迟;(3)样品和最终电气测试(电子测试)提供数据与中期或长期的电性能时间延迟,但他们最重要的信息制造业的成效。先进的控制手段与优化方法应尽量在所有的信息的使用综合等级的高效率生产和严格的产品质量控制。监测和控制的半导体制造 程序已经在一些美国的大学和工业研究实验室研发出来。 作为代表的有 U.C.伯克利28,32对统计建模与控制等离子蚀刻机,密歇根实时运行多变量控制 ,以及麻省理工学院在不同的传感器和控制技术 。由于缺乏现场传感227,8器大部分控制开发工作从运行(R2R)控制策略12,41。在马里兰大学研究小组贡献的运行

5、区控制2,5,53。领先的半导体制造商协会 SEMATECH发布了在等离子设备故障检测和诊断的几种基准问题 。自适应非线性 R2R 控制问题被提出。模型预测适用于 R2R 控4 制并具有额外的处理能力,可以明确的设定系统参数 。美国德州大学奥斯汀分校,我19术的计量数据光盘 。其他新的发展,控制和故障检测是在最近由 Sematech 组织的 Spie14装备水平控制涉及的工具自动反馈控制参数和小规模运行控制使用的综合计量。第二个层次的运行控制涉及在线测量的前馈使用和反馈控制。第三个层次是岛屿控制。层次结构的顶层是工厂全控制,这是最高级别的优化,通过重新计算所需的最优几何目标而把消耗控制在剂量较

6、低的水平。该文件的组织如下。我们首先提出为 300 毫米设备和计量工具和材料处理高度自动化的系统在全工厂范围分层控制的框架。现有相关运行的技术在工厂控制范围内通过了审查和分析。过程和计量数据的监测,通过是举例来说明的。缺失的部分,作为未来研究和发展的方向而被指出。结束语附在文章末尾。化学,力学,抛光)。2 一个工厂的框架范围内的控制 几乎所有现有的发展都是以基于装备水平计量数据的 R2R 控制为基础的。这些被称为岛屿控制如图 1 的下部所示。现有的控制策略都不能检测协调多个制造步骤,从而提高电气参数方面的总体质量。R2R 控制器的漂移补偿通过计量设备反馈,但他们无法弥补计量漂移和不确定性。这里

7、提出的电气参数直接控制可以弥补计量漂移和几何测量低于 SPC 限制的系统误差。据认为,控制和电气参数优化代表半导体制造控制系统的新一代产品,因为它直接控制下级 R2R 控制器的使用。当市场需求数量一定时,电气参数的控制和优化将最大限度地高档产品产量或降低运营成本。如图 1 所示工厂全控制框架提供优化和加强协调,逐步减少变性,返工和废料,从而改善整体设备效率,降低制造成本。这一框架由秦和桑德曼 在 AMD 上部署许多38R2R 控制器并分析更高层次的控制需求后提出。装备水平的控制涉及工具参数的自动反馈控制。下一个层次是 R2R 控制采用综合或内嵌计量,以达到特定的目的。第三个层次是控制岛屿从多个

8、步骤来执行前馈和反馈控制以及工具的性能匹配。层次结构的顶层是电气参数控制(EPC)或工厂范围的控制,通过重新计算对下级的最优目标来实现预期的电气性能。设备漂移,计量漂移,和物质补偿的变化是在 EPC 反馈水平,从而改善进程,提高可用性,减少计量校准器和测试晶圆的使用。这种多层次控制框架类似于已经在炼油行业 成功的分层控制框架,但存在重大分39歧:(1)最低级的控制主要是批量操作;(2)中层 R2R 的控制,除了干扰几乎没有 R2R动力学过程的动态特性;(3)顶层的 EPC 是一个多步操作的控制,目的是弥补以前的步骤失误,不考虑绝对误差,只要分步进行计量的测量结果是可用的。这使其与模型预测控制(

9、MPC)缩小视野的批处理不同。在化学和炼油过程中,顶级优化是实时优化 ,31中等水平的是全面的动态 MPC。由于 MPC 是一个强大的和成功的技术,它已经在半导体行业扩展到调度和生产规划11,46,47。该全工厂控制框架也参考 MPC 框架,但重点是优化设备的电气参数控制。电子测试数据用来改善设备模型之间的电子测试数据和模型的参数不匹配。参数评估进行后,估计参数被发送到工厂范围优化器,分配指标,以较低级别的控制器,调节生产制造过程。随着新的模型参数设置更新,该模型开始用于 EPC 控制。3 运行控制算法近年来,运行(R2R)控制技术已受到半导体制造业的巨大关注。莫恩和赫维茨(莫恩等 )定义了

10、R2R 控制:“一个离散的过程和机械控制,其中就某一特定过程的产物34 易地修改,以尽量减少过程中的漂移,转变和可变性 ”。为了修改配方,处理过程中漂移,转移和其他变化,目前的工具和晶圆州有必要进行估计。一类广泛使用的运行可以运行的是在指数加权移动平均(EWMA)的统计数字,估计过程扰动的控制器。EWMA 已经用于长的时间质量监测目的 。其使用是作为 R2R 控制的近期应用 。940如需 easurement xn,xn-1, . . .的时间序列,其中 N 表示运行数,给出了 EWMA 的递推公式:Xn=wxn-1+(1-w)xn最有效的一个操纵 R2R 控制变量是在诸如蚀刻时间,曝光时间,

11、处理步骤,处理时间和平整时间。在这种情况下的控制变量通常在何种程度下发展的进程处理时间,如蚀刻和深入的关键方面。前面介绍的相乘模式不适合典型的线性状态空间模型,但可以转换为线性状态与过程和测量空间模型,简单地由对数测量噪音。因此,本文提出的所有控制算法适用于时间控制。4 故障检测与诊断数据处理工具例如温度,压力,气体流量等将被应用到单晶片或批量的磁盘中。比如一些典型的加工服务,包括等离子体刻蚀,薄膜沉积,快速热退火,离子注入,化学机械研磨等。在大多数的处理步骤中,每一个感应器都收集晶圆磁盘或那些数据处理的工具。这个数据可以制造出先进的传感器平台,如光发射光谱中的实时数据,简易的统计数字,其数据

12、形式在每次运行结束时都可用。数据故障检测与诊断已成功应用于其他行业的开发和应用中30,49。这些驱动的故障检测技术是基于多元统计分析的基础上来完成的,如主成分分析(PCA)和局部最小乘积(PLS)的数据和相关的统计质量控制方法 。这些监测方法最近的一项审查可参考2636。虽然半导体制造的批处理性质为申请多路过程监控 提供了很多机会,许多半导体35计量数据组织形式被分成三个方面。其中一个是 CD 计量,它的三个方面是晶圆,站点和参数。批量数据也通常可以加工显示出批量,时间和参数的工具(图 2)。多路 PCA 已成功地应用于许多不同行业的批量加工过程监控。在半导体制造领域Yue 等人 提出了通过申

13、请多路 PCA 到等离子蚀刻机的光发射谱来扩展数据的观点。52对于计量和处理工具的监测,数据可以通过站点或时间(每行代表一个晶片上的一个站 点或批处理的一个时刻)或晶片(每行代表一个晶圆)展开。在这项工作中,晶圆级故障检测与识别是必需的,所以后者已被选为更好的展开方法(图 4)。正如后面将要讨论的,用站点或时间分析数据的优点可以通过实现多块做法来体现。晶圆批次网站时间参数网站时间/图 4 展开的网站水平和批量数据 4.1 计量数据监测虽然加工业务创造了结构,但是计量业务使它们拥有了这些特点。计量测量的一些例子包括发展检验关键尺寸(DICD),最后检查关键尺寸(FICD)和薄膜的厚度。计量测量通

14、常在半导体晶片上多点采样,在同一点检测不同特征。故障检测和识别应用到站点级计量数据是为了验证整个晶圆表面建立在半导体晶片上的结构是否都均匀的在他们该在的位置。作为一个例子,我们使用 PCA 进行故障检测并用来自得克萨斯州奥斯汀 AMD 的Fab25 DICD 进行数据鉴定。在光阻材料发展起来之后,该 DICD 是光阻材料图案宽度。如图 3 所示,在各向同性发展表明各光阻底部和顶部之间的差异很小。该数据集由 700片晶圆组成,每个晶圆顶部和底部各有 9 个测点。图 6 为分组的所有 9 个站点为两个参数合计,图 7 重点考虑到每个站点的参数。这9 个图可以很容易地识别基于晶片位置的问题。由提供的

15、数据显示,出现的漂移在站点2,3 和 4 最强,而它是很难在点 6,8 和 9 强烈漂移。随着了解每个站点在晶圆上的位置,将有可能使用这些图和掩蔽工具来解决可能倾斜或焦点问题。 水水水图 5 DICD 使用 SPEr 故障检测,T r 的和乌拉圭回合。 水水水水水水水网 站水水 虽然跟踪块是好的贡献,影响了一大批晶圆的做法,但是也必须考虑的一个问题是如何在一个单晶片上集成,这个目标还有待验证。为了演示此功能,图示已生成晶圆 395和 450(图 5 中用箭头标出)。晶圆 395 图示如图 8。显而易见,测量 4(下部:Site 4),12(顶部:Site 3),13(顶部:Site 4)值得怀

16、疑。在底部和顶部的参数,也和 Site 4 一样作为异常的站点贡献,表示出问题。一个合乎逻辑的解释就是到晶圆上该站点有需要进一步探讨的问题,可能会影响产品产量或性能。虽然 Site 3 顶端尺寸也被特别指出,但是顶部和底部都被认为是共同时,整体站点的贡献是正常的。5 挑战与机遇5.1 电气参数建模为贯彻落实晶圆厂的控制,发展基于物理的器件模型映射到电气几何参数,如振动频率参数,擦除闪存时间,电阻值等是很重要的。这个模型与用于 R2R 控制器的用来描述如关键尺寸,深度,厚度或工艺操作条件之间的关系的模型不同。由于优化在广泛应用的 EPC 中涉及到了,非线性物理模型的基础模型适合于优化。适合 EP

17、C 的模型必须可以实时执行,它不同于模拟和设计模型,如 TCAD 模型。因此,减少型号为 EPC一个重要问题。随着半导体产业进入 100 纳米时代(目前 90 纳米,并会很快发展到 65 nm),多尺度建模与仿真变得很重要。这些模型10,18可以帮助了解微观行为并有效控制和避免潜在的缺陷。无方程仿真模型 可用于控制和约束处理使用。275.2 长延迟模型更新随着这一进程的计量和物质随时间变化,需要从实际使用数据适应模型参数。为了统计参数集,非线性物理模型需要非线性最小二乘法。最小二乘法的目的是 EPC 的二重目标,即最小化之间的电子测试数据和模型的输出成品晶圆地段或受到可能的制约因素的差异。在更

18、新模型的一个具有挑战性的任务是在电子测试测量数据的延迟。更新机制应该只响应长期持久的变化,而不是短暂的临时错误。重复学习控制和实时反馈控制框架(金等人,2003 年)是一个可执行的解决方案,但需要进一步努力,处理的长时间延迟,多了一个新的 EPC 执行目标会有更长的时间延迟。更新后的模型被用于 EPC 控制器,以便接收下一个目标大量数据传入。 5.3 FDC 与 R2R 的一体化正如在图 1 中的说明,晶圆厂控制框架中额每个步骤具有广泛的 R2R 控制器和 FDC模块。FDC 的目的是监测分析以历史数据为基础的正常情况下的偏差。整合之一就是将多路 PCA 应用于设备监控。通过双方合作,FDC

19、和 R2R 控制向他们的一体化提出了挑战。首先,FDC 的方法通常假定具有循环或类似批长度。另一方面,R2R 模块的目的是调整安排,如生产时间,以尽量减少由于正常变异过程产生的漂移。FDC 模块,如果不妥善设计,可认为正常 R2R 调整偏离正常情况下并且是错误的警告。另一个挑战是 R2R反馈故障诊断的影响。由于工具控制反馈的故障的根本原因可能是由一个变量转移到另一个的反馈存在时效性。对于故障诊断,反馈信息的利用33可能是一个解决的途径。6 结束语半导体产业正在成为一个资本最密集的高比例收入行业之一。另一方面,优化和生产业务的控制最近已受到重视,并证明是必要的竞争优势。一个设计良好的晶圆厂的控制

20、框架,给半导体制造商提供了竞争力,因为他们过渡到300 毫米技术,并预见了未来450 毫米技术。自动化的物料处理系统和自动化 R2R 控制功能提供了实施的层次各级晶圆厂的控制和故障检测的必要基础。领先的设备制造商设想未来大部分的日常业务将由干净的房间转移到未来的中央控制室。这种转变提供了更大的挑战和机遇,过程控制的研究人员和工程师将为为这个蓬勃发展的行业订立新标准。原文: Semiconductor manufacturing process control and monitoring: A fab-wide frameworkAbstractThe semiconductor indust

21、ry has started the technology transition from 200 mm to 300 mm wafers toimprove manufacturing efficiency and reduce manufacturing cost. These technological changes present aunique opportunity to optimally design the process control systems for the next generation fabs. In thispaper we first propose

22、a hierarchical fab-wide control framework with the integration of 300 mmequipment and metrology tools and highly automated material handling system. Relevant existingrun-to-run technology is reviewed and analyzed in the fab-wide control context. Process and metrologydata monitoring are discussed wit

23、h an example. Missing components are pointed out as opportunities forfuture research and development. Concluding remarks are given at the end of the paper.2005 Published by Elsevier Ltd.Keywords: Semiconductor manufacturing; Fab-wide control; Electrical parameter control; Run-to-runcontrol; Fault de

24、tection and classification;Metrology data monitoring1. IntroductionThe semiconductor industry has started the technology transition from 200 mm to 300 mm wafers toimprove manufacturing efficiency and reduce manufacturing cost. Along with this transition is thedoubling of capital expenditure in a 300

25、 mm fab versus a 200 mm fab. (The cost of a 200 mm fab is over$1 billion while the cost of a 300 mm fab is over $2 billion.) Other technological changes include: Single wafer processing capability instead of lot-to-lot operations; Fully automated material handling systems (AMHS) with inter-bay and i

26、ntra-bay transportation; Integrated metrology that allows for timely control; Highly automated process control and fault diagnosis.Owing to the capital intensity of the new generation fabs, it is critical to maintain highly efficientoperations,minimize downtime of equipment, and optimize the yield o

27、f high quality products. TheInternational Technology Roadmap 42 clearly identifies that factory information and control systemsare a critical enabling technology to reduce cycle-time and improve yield.These technological changespresent a unique opportunity to optimally design the process control sys

28、tems for the new generation fabs.A persistent challenge in semiconductor manufacturing control is the lack of critical in situ sensors toprovide real time information of the wafer status for feedback control and optimization. Fortunately,recent advance in metrology technology 44 provides an opportun

29、ity for improving the timeliness andusefulness of the measurement data.for analysis and control:Typically a modern fab has the following measurement data available(1) Real time data at the tool level which reflect the equipment health condition and provide feedback for realtime control;(2) Integrate

30、d metrology and in-line metrology data available for geometric dimensions after a major processingstep, with small to moderate metrology delay;(3) Sample and final electrical test (E-test) data available for electrical properties with medium or long timedelay, but they have the most important inform

31、ation about the manufacturing effectiveness.Advanced control and optimization methodology should maximize the use of all the information in an integratedhierarchy for highly efficient manufacturing and tight product quality control. Monitoring and control of semiconductor manufacturing processes hav

32、e been investigated at a number of USuniversities and industrial research laboratories. Representative work includes U.C. Berkeley 28,32 onstatistical modeling and control of plasma etchers, Michigan on real-time and run to run multivariablecontrol22, as well as MIT on different sensor and control t

33、echnologies 7,8. Due to lack of in situ sensorsmuch of the control work is developed from the run-to-run (R2R) control strategy 12,41. Research groups atUniversity of Maryland contributed in the area of run to run control 2,5,53. SEMATECH, a consortium ofleading semiconductor manufacturers, posted s

34、everal benchmark problems on plasma equipment fault detectionand diagnosis 4. Adaptive and nonlinear control for R2R operations is proposed by 16. Model predictivecontrol is applied to R2R control as well which has additional capability in handling constraints explicitly 19.At UT-Austin we have deve

35、loped (i) stability conditions and tuning guidelines for multivariable EWMA anddouble EWMA control with metrology delays 20,21, (ii) multivariate statistical monitoring of RTA and etchers52,51, and (iii) multivariate statistical control of CD metrology data from lithography 14. Other newdevelopment

36、and applications of control and fault detection are reported at recent SPIE conferences andAEC/APC Symposia organized by SEMATECH and summarized in Del Castillo and Hurwitz 15 and Moyne etal. 34. Manufacturing companies like AMD, Intel, Motorola, and TI and vendors like Applied Materials,Brooks-PRI

37、Automation, and Yield Dynamics are leaders in deploying APC technologies at the manufacturinglines.In this paper we draw the analogy between semiconductor manufacturing fabs and chemical plants and propose ahierarchical optimization and control system for semiconductor fab control. A schematic diagr

38、am is shown inFig. 1 for this analogy, which was first presented by Qin and Sonderman 38. The equipment level controlinvolves automatic feedback control of tool parameters and small scale run-to-run control using integratedmetrology. The next level run-to-run control involves the use of in-line meas

39、urement for feedforward andfeedback control. The third level is the islands of control. The top level of the hierarchy is the fab-wide controlwhich is the highest level optimization to achieve desired electrical properties by recalculating the optimalgeometric targets and dosage for the lower level.

40、The organization of the paper is given as follows. We first propose a hierarchical fab-wide control strategy withthe integration of 300 mm equipment and metrology tools and highly automated material handling system.Relevant run-to-run technology is reviewed and analyzed in the fab-wide control conte

41、xt, process and metrologydata monitoring are discussed with an example, and missing components are pointed out as opportunities forfuture research and development. Concluding remarks are given at the end of the paper.2. A framework for fab-wide controlAlmost all existing development is on R2R contro

42、l which adjusts recipes of a step based on metrology data at theequipment level. These are known as islands of control as illustrated in the lower part of Fig. 1. None of the existing control strategies examine the coordination of multiple manufacturing steps to improve the overallproduct quality in

43、 terms of electrical parameters. The R2R controllers compensate for equipment drifts throughmetrology feedback, but they cannot compensate for metrology drifts and uncertainties. The direct control ofelectrical parameters proposed here can compensate for metrology drifts and systematic errors in the

44、 geometricmeasurements that are below the metrology SPC limits. It is believed that the control and optimization ofelectrical parameters represent the next generation of semiconductor manufacturing control system as it directlycontrols the electrical properties to a desired product profile by manipu

45、lating the operation requirements forlower level R2R controllers. The electrical parametric control and optimization will maximize the yield ofhigh-grade products or reduce operational cost when a demand profile is specified by market orders.The fab-wide control framework in Fig. 1 provides optimiza

46、tion and coordination from step to step to reducevariability, reworks, and scraps, thus improving the overall equipment effectiveness and reducing manufacturingcost. This framework was first presented by Qin and Sonderman 38 after having deployed many R2Rcontrollers at AMD and analyzed the need for

47、a higher level control. The equipment level control involvesautomatic feedback control of tool parameters. The next level is run-to-run control using integrated or in-linemetrology to achieve a specified target. The third level is the islands of control that shares information frommultiple steps to

48、perform feedforward and feedback control and tool performance matching. The top level of thehierarchy is electrical parametric control (EPC) or fab-wide control to achieve desired electrical properties byrecalculating the optimal targets for the lower levels. Equipment drifts, metrology drifts, and

49、material variationsare compensated by feedback at the EPC level, leading to improved process and metrology availability andreduced use of calibration and test wafers.This multiple level control framework resembles the hierarchical control framework that has been successful inthe refinery industry 39

50、, but significant differences exist: (i) the lowest level control is mostly batch operations;(ii) the middle level R2R control has virtually no R2R process dynamics except for disturbance dynamics; and(iii) the top level EPC is a multi-step operation control that aims to compensate for errors made i

51、n prior steps,regardless of the nature of the errors as long as step-wise metrology measurement is available. This makes itdifferent from model predictive control (MPC) of batch processes with shrinking horizons. In chemical andrefinery process, the top-level optimization is real-time optimization 3

52、1 and the middle-level is the full-scaledynamic MPC.As the MPC framework is a powerful and successful technology, it has been extended to scheduling andproduction planning in the semiconductor industry 11,46,47. The fab-wide control framework proposed herealso draws analogy from the MPC framework,bu

53、t the focus is optimized control of electrical parameters of thedevices.The E-test data are used to update the device model parameters based on mismatch between the E-test data andthe model. After parameter estimation is performed, the estimated parameters are sent to a fab-wideoptimizer,which distr

54、ibutes targets to lower-level controllers that regulate steps within the manufacturingprocess.The model updated with the new set of model parameters is used for EPC control.3. Run to run control algorithmsIn recent years, run-to-run (R2R) control technology has received tremendous interest in semico

55、nductormanufacturing. Moyne and Hurwitz (Moyne et al. 34) define the run-to-run control as a form of discreteprocess and machine control in which the product recipe with respect to a particular process is modified ex situ,i.e., between machine runs, so as to minimize process drift, shift, and variab

56、ility. In order to modify the recipeto address the process drift, shift and other variability, the current tool and wafer states need to be estimated. Oneclass of widely used run-to-run controllers is based on the exponentially weighted moving average (EWMA)statistics to estimate process disturbance

57、s. The EWMA has been used for a long time for quality monitoring purposes 9. Its use as a basis for run-to-runcontrol is relatively recent 40. For a time series of easurement xn,xn-1, . . ., where n denotes the runnumber, the EWMA is given in the following recursive formula:Xn=wxn-1+(1-w)xnOne of th

58、e most effective manipulated variables in R2R control is the processing time within a processing stepsuch as etch time, exposure time, and planarization time. The controlled variables in this case are typically theextent to which the process develops under the processing time, such as depth of etch

59、and critical dimensions.This multiplicative model does not fit into the typical linear state space model presented earlier, but it can beconverted to the linear state space model with process and measurement noise by simply taking the logarithm.Therefore, all the control algorithms presented earlier

60、 in this paper are applicable to time control.4. Fault detection and diagnosisProcessing tool data such as temperatures, pressures, and gas flow rates will be used to monitor recipes appliedto single wafers or batches of wafers. Some typical processing operations include plasma etching, thin filmdep

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论