FPGA入门培训教材(共46张)(PPT 46页)_第1页
FPGA入门培训教材(共46张)(PPT 46页)_第2页
FPGA入门培训教材(共46张)(PPT 46页)_第3页
FPGA入门培训教材(共46张)(PPT 46页)_第4页
FPGA入门培训教材(共46张)(PPT 46页)_第5页
已阅读5页,还剩41页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、FPGA入门培训苏州智汇谱电子科技2015.07.23第1页,共46页。大纲一、应用背景与厂商二、器件的基本结构三、工程实例四、约束与分析第2页,共46页。一、FPGA的应用背景(1)产品开发 机顶盒、医疗仪器、车载电子仪器 (2)系统与IP的原型验证 手机芯片的原型实现 第3页,共46页。FPGA主要厂商及产品中高端: Xilinx & Altera分布占有48%与41%的市场份额 中低端:Lattice & Microsemi第4页,共46页。第5页,共46页。图 UltraScale架构-业界首款ASIC级All Programmable架构,是行业首次在全面可编程的架构中应用尖端的AS

2、IC技术,致力于从根本上满足人们对数百Gbps的系统性能、全线速下的智能处理能力以及高速浮点运算水平的需求。第6页,共46页。UltraScale架构能够从布线、时钟、关键路径及电源等四方面解决影响先进节点芯片性能方面的最大瓶颈问题互连第7页,共46页。第8页,共46页。Lattice 资深产品暨企业行销总监Brent Przybus表示,网通、工业控制、监控系统等应用正掀起分布式计算的风潮,低功耗、小尺寸FPGA市场后市可期。有鉴于此,为迎合市场趋势要求,降低耗电量、缩小体积及缩减成本将为Lattice于2013年侧重的三大FPGA产品策略第9页,共46页。自收购Actel后,Microse

3、mi的Flash FPGA在FPGA市场走向主流。其SmartFusion2产品系列具有最高标准的安全性、带有实时嵌入式处理器的即时运作特性,以及具有SEU免疫能力的无与伦比的高可靠性和扩展温度范围支持,已经用于电信、工业、安防和国防市场中众多客户系统中第10页,共46页。FPGA业界的后起之秀Achronix(亚克尼斯)以其凌厉的市场攻势。Speedster22i FPGA采用英特尔领先的22纳米3D Tri-Gate晶体管技术,其功耗是竞争对手同类器件的一半,是业内唯一内嵌10/40/100G以太网MAC、100Gbps Interlaken、PCI Express Gen1/2/3和2.

4、133 Gbps DDR3控制器硬核的FPGA器件。第11页,共46页。技术发展趋势第12页,共46页。二、器件的基本结构Altera 的FPGA Xilinx 的FPGA或其他公司的FPGA 一般的结构都是由一些CLB 或类似称为LE 的宏单元组成其内的component一般是查找表LUT 时序单元 如寄存器外加一些如进位链等先进的结构第13页,共46页。每个CLB包含4个相似的Slice 4个Slice 按照如上图的阵列排布每个Slice都与一个开关矩阵紧密相接以便连到通用布线阵列GRM 在CLB中还有内部的快速的互联线保证4个slice 之间快速的互联第14页,共46页。第15页,共46

5、页。第16页,共46页。第17页,共46页。每个乘法器块紧靠着Block RAM 共用4个开关矩阵第18页,共46页。第19页,共46页。第20页,共46页。第21页,共46页。第22页,共46页。第23页,共46页。第24页,共46页。第25页,共46页。三、工程实例第26页,共46页。第27页,共46页。第28页,共46页。第29页,共46页。# STEP#0: define output directory area.Set outputDir ./Tutorial_Created_Data/bft_outputfile mkdir $outputDir# STEP#1: setup d

6、esign sources and constraintsread_vhdl -library bftLib glob ./Sources/hdl/bftLib/*.vhdl read_vhdl ./Sources/hdl/bft.vhdlread_verilog glob ./Sources/hdl/*.v read_xdc ./Sources/bft_full_kintex7.xdc第30页,共46页。# STEP#2: run synthesis, report utilization and timing synth_design -top bft -part xc7k70tfbg48

7、4-2 write_checkpoint -force $outputDir/post_synth report_timing_summary -file $outputDir/post_synth_timing_summary.rpt report_power -file $outputDir/post_synth_power.rpt# STEP#3: run placement and logic optimzation, report utilization and timingestimates, write checkpoint designopt_designplace_desig

8、nphys_opt_designwrite_checkpoint -force $outputDir/post_placereport_timing_summary -file $outputDir/post_place_timing_summary.rpt第31页,共46页。# STEP#4: run router, report actual utilization and timing, write checkpoint design,run drc, write verilog and xdc outroute_designwrite_checkpoint -force $output

9、Dir/post_routereport_timing_summary -file $outputDir/post_route_timing_summary.rptreport_timing -sort_by group -max_paths 100 -path_type summary -file$outputDir/post_route_timing.rptreport_clock_utilization -file $outputDir/clock_util.rptreport_utilization -file $outputDir/post_route_util.rptreport_

10、power -file $outputDir/post_route_power.rptreport_drc -file $outputDir/post_imp_drc.rptwrite_verilog -force $outputDir/bft_impl_netlist.vwrite_xdc -no_fixed_only -force $outputDir/bft_impl.xdc第32页,共46页。# STEP#5: generate a bitstreamwrite_bitstream -force $outputDir/bft.bit第33页,共46页。四、约束与分析管脚约束时序约束位置约束第34页,共46页。第35页,共46页。第36页,共46页。 Path from Input Port to Internal Sequential Cell Internal Path from Sequential Cell to Sequential Cell Path from Internal Sequential Cell to

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论