数电综合实验报告记录2交通灯_第1页
数电综合实验报告记录2交通灯_第2页
数电综合实验报告记录2交通灯_第3页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第 PAGE10 页 共 NUMPAGES10 页数电综合实验报告记录2交通灯数电综合实验报告记录 2 交通灯作者: 日期:数字逻辑与数字系统设计综合实验 十字路口交通灯自动控制器的设计学 院电子工程学院 班 级 卓越 001012 班 学 号 00101201 姓 名 冉艳伟 实验时间 20_68十字路口交通灯自动控制器的设计 一、 实验目的 学习 Quartusll 的使用方法,熟悉可编程逻辑器件的使用。通过 制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交 叉路口红绿灯的控制。二、 设计任务 设计一个十字路口交通控制系统, 其东西,南北两个方向除了有 红、黄、绿灯指示是否允许

2、通行外,还设有时钟,以倒计时方式显示 每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是 40、5 和 45 秒。当东西或南北两路中任一道上出现特殊情况,例如有消防 车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即 进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮, 时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统 恢复原来的状态,继续正常运行。南北干道_O O O_O OO O东西干道匸 匚O OO OO O O I 图 1 1 路口交通管理示意图A A B B C C D D 南北干道交通灯: 绿(0 40 秒)黄(5 5 秒)红(0 40 秒)红(5

3、 5 秒)东西干道交通灯 红 红 绿 黄表 1 1 交通信号灯的 4 4 种状态 三、实验要求 (1) 按照设计任务设计,采用 VHDI 语言编写程序,并在 QUARTUSII 工具平台中进行仿真,下载到 EDA 实验箱进行验证。交通灯用发光二 级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至 正确为止。(2) 编写设计报告,要求包括方案选择、程序清单、调试过程、 测试结果及心得体会。要求:必须用可编程器件实现电路功能。可以是原理图,也可以 是 VHDL弋码,也可以混合输入。五、设计说明 (1) 第一模块:CLK 时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制

4、的方 式指挥交通的。因此为了避免意外事件的发生,电路必须给一个 稳定的时钟(clock )才能让系统正常运作,故对 1MHz 的时钟信 号进行 1000000分频。模块说明:系统输入信号:CLKi:由外接信号发生器提供 1MHZ 的时钟信号; 系统输出信号:CLK:产生每秒一个脉冲的信号; (2) 第二模块:模 90 倒计数器按照实验要求,交通灯循环一次为 90 秒,且显示倒数的计数 值,故设计一模 90 倒计数器通过主程序运算即可显示各路计数值。模块说明:系统输入:CLK:接收由 CLK 电路的提供的 1hz 的时钟脉冲信号; reset:紧急情况输入 系统输出信号:L1 :倒计数值 秒数十

5、位变化控制信号; L0:倒计数值秒数个位变化控制信号; (3)交通灯主程序 说明:系统输入:CLK:接收由 CLK 电路的提供的 1hz 的时钟脉冲信号; reset:紧急情况输入 系统输出信号:L_:东西方向红绿黄灯控制信号; Ly:南北方向红绿黄灯控制信号; NUM_l :东西方向倒计数值秒数十位变化控制信 号; NUM_O :东西方向倒计数值秒数个位变化控制信 号; NUM_l :南北方向倒计数值秒数十位变化控制信 号; NUM_O :南北方向倒计数值秒数个位变化控制信号;六、设计方案 GA.RB YA.RB RA3 RA.YB 图 2 交通灯控制系统示意图 交通挾制系统示意图采用 L

6、VHDL 语言输入的方式实现交通信号灯控制器LJ LJ LJ n_J东西 南北 图 2 2 交通信号灯控制器的原理框图 图 3 3 交通信号灯控制器程序原理框图 七、程序清单 (1) 第一模块:CLK 时钟秒脉冲发生电路 en tity defreq is port(CLKi:i n stdo gic; CLK:out std_logic ) ; i 九、引脚设置 接下来就要选择目标器件并对相应的引脚进行锁定了, 引脚锁定方法如下图 所示。Mode N STCDrcclion Low bon g Bank vYef Graup I/O Siardcird1CLK IiD-it Pm 经 4 裁

7、 N1 3.3 l 厂 1 仕 Bui:;工 a 吨” Output Plh_.134 2 B2N1 3.3 _71L 坛 mul::t_ilput PIN.U5 2 B2N1 3.一厂 L (dE_auh;科 a L _ M output PIN_23S 2 B2 M1 3.J-M L/rrl iefeulc5M Output P-3.珅 _E CJ E N J I:GLyi:Outut2 B2LN1 3.3- E (duJuir7Lfd Output2 B2 N1 13 A .fTlGkbult:8 aOu 蚀 t pm 43.3 I LL ;dc_auh.回P1H_3 1 S1_N1 L

8、VTTItdEaui:;10NLiMtsaflPIN 2fl L 31 N1 玄詁 Lfl-n 怙 ui:Oulj_it pn 23 L 31 M1 3.詁 L/T-L 也亡 3|:12Output PiH 24 1 31 N1 3laquo;3 A ! /rn.比 a MJMKint)PBHJ9 1 E J1_N3 3,3 -M LE 【北诂 ul:; 妙Ckit PLH_t 1 a.i-_vm i 血 pui:;fIMJM K IM UULlt 艮刖 1 31 N0 i.i-oLkn (daaul:;迫a KIM/03 Output PIM_1O3 5 B!hD 3.3- i.zr-L C

9、lefdult:17 神Outf_it PtC:CH 3 D3 N0 -E iJr-aulpOuhjut PIN 112 5 j B2 N0 13 ./TH.(defeul:1缶 HJMAOJ OulpLit PlN_n3 2 B2_N0 3.3 - L/TTL tderauh;20 2Output :2 H2_N0 3.小 V -VTquot; L Cde-auh;21 aDurput222cm met pn u8 2 B2 MJ 3.制 LE tleuIChUMflfOl Output PJN:15 z D2 hD 13 ./TL 址汕忙禹 bull; reset Ireut pn_22

10、1 0I_N1 13 -El (deul::十、实验总结(故障排除与收获体会)综合设计实验对学生而言是其对所学课程内容掌握情况的一次自我验证, 从 而有着极其重要的意义。通过设计能提高学生对所学知识的综合应用能力,能全 面检查并掌握所学内容,我们进行了数字电路设计,老师命题,进行设计。趣味 性强,同时也可以学到很多东西。我们做的是交通灯控制器的设计。在这学期的课程设计中,在收获知识的同时,还收获了阅历,收获了成熟, 在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了 独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,我 们学会了很多学习的方法。而这是日后最

11、实用的,真的是受益匪浅。要面对社会 的挑战,只有不断的学习、实践,再学习、再实践。通过这个程序设计,我进一步加深了对电子设计自动化的了解, 并进一步熟 练了对 l Quartusll 软件的操作。在编写程序的过程中,遇到了很多问题,有些函 数不太熟悉,使我发现自己以前学习上存在的不足。通过与同学探讨和请教老师, 终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。同时也掌握了 做课程设计的一般流程,为以后的设计积累了一定的经验。做课程设计时,先查 阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把 要实现的功能用流程图的形式展示。最后参照每个模块把输入和输出引脚设定, 运用我们所学的 L VHDL 语言进行编程。这次课程设计虽然结束了,也留下了很多遗憾,因为由于时间的紧缺和许多 课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、 对待我们的生活。我们的激 情永远不会结束,相反,我们会更加努力,努力的去弥补自己

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论