毕业设计(论文)-单片机控制的软起动器的设计_第1页
毕业设计(论文)-单片机控制的软起动器的设计_第2页
毕业设计(论文)-单片机控制的软起动器的设计_第3页
毕业设计(论文)-单片机控制的软起动器的设计_第4页
毕业设计(论文)-单片机控制的软起动器的设计_第5页
已阅读5页,还剩45页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、摘要作为异步电动机的起动装置,智能软起动器具有显著的节电效果及良好的工作特性等优点,因此被广泛应用。本文介绍了一种三相交流电动机软起动器的硬件组成和软件程序设计,该系统以16位单片机为核心。在电动机起动过程,通过控制晶闸管的关断和导通角进行调压调速,实现电动机的平滑起动。本文设计的鼠笼式异步电动机软起动器以80C196KC单片机为核心,双向可控硅作为调压元件,外加显示电路、键盘电路、接口电路及软件结构等组成。根据用户的不同需求,可以设置不同的起动时间、起动电流和起动转矩,同时实现断电数据存储。应用此设备起动电动机时,可以使起动电流从零线性上升至设定值,实现平稳起动,对电动机无冲击,提高供电可靠

2、性,同时还提供软停车功能和多种保护。研究说明:该系统结构简单、参数调整灵活、控制效果良好,具有一定的使用价值。关键词:80C196KC 软起动器 晶闸管 交流电动机AbstractWith the remarkable save enerty function and sound performance,the intelegent soft-starer,as the acmating device of the induction motor has been used widelyThe hardware composing and program designing of one so

3、ft-starter used in AC motor are mainly discussedIt is based on atmel 80C196KC mierocontroller and starts up the motor smoothly by AC pressure regulating and speed control in the start up procedureAccording tothe requests,diferent start-up time,start up current an distart torque can be setThis system

4、 Can also save the setting data when the power is broken80C196KC single chip computer is used as the main contml of soft starter and double action thyristor as vohage adjusting element.It also introduces the correlative computer hardware and softwareWhen it starts motor,eurrent goes up from zero to

5、initialization linearIt realizes to calm startup,no impact to motor,improve securityIt also has soft stop and many protectsThe system has merit of simple structureeasily adjusting parametersas well as good controlling effectit has been proved in the studyKey words:80C196KC soft-starter thyristor AC

6、motor目 录 TOC o 1-3 h z u HYPERLINK l _Toc138075483 1 绪 论 PAGEREF _Toc138075483 h 1 HYPERLINK l _Toc138075484 1.1 引言 PAGEREF _Toc138075484 h 1 HYPERLINK l _Toc138075485 1.2 软起动器的实现方法 PAGEREF _Toc138075485 h 2 HYPERLINK l _Toc138075486 1.3 软起动器的开展方向 PAGEREF _Toc138075486 h 5 HYPERLINK l _Toc138075487

7、2 软起动原理 PAGEREF _Toc138075487 h 6 HYPERLINK l _Toc138075488 2.1 直接起动的危害 PAGEREF _Toc138075488 h 6 HYPERLINK l _Toc138075489 2.2 传统降压起动方式的性能比拟 PAGEREF _Toc138075489 h 6 HYPERLINK l _Toc138075490 2.3 软起动器介绍 PAGEREF _Toc138075490 h 7 HYPERLINK l _Toc138075491 2.4 软起动器特点 PAGEREF _Toc138075491 h 7 HYPERL

8、INK l _Toc138075492 2.5 软起动原理 PAGEREF _Toc138075492 h 8 HYPERLINK l _Toc138075493 2.6 三相晶闸管调压原理 PAGEREF _Toc138075493 h 9 HYPERLINK l _Toc138075494 3 主回路设计 PAGEREF _Toc138075494 h 11 HYPERLINK l _Toc138075495 3.1 系统工作原理 PAGEREF _Toc138075495 h 11 HYPERLINK l _Toc138075496 3.2 旁路系统 PAGEREF _Toc138075

9、496 h 14 HYPERLINK l _Toc138075497 3.3 晶闸管的参数计算 PAGEREF _Toc138075497 h 15 HYPERLINK l _Toc138075498 3.4 交流过电压保护 PAGEREF _Toc138075498 h 15 HYPERLINK l _Toc138075499 3.5 过电流保护 PAGEREF _Toc138075499 h 16 HYPERLINK l _Toc138075500 3.6 晶闸管关断过电压阻容保护 PAGEREF _Toc138075500 h 16 HYPERLINK l _Toc138075501 3

10、.7 电流检测 PAGEREF _Toc138075501 h 16 HYPERLINK l _Toc138075502 3.8 测速控制 PAGEREF _Toc138075502 h 17 HYPERLINK l _Toc138075503 4 控制回路设计 PAGEREF _Toc138075503 h 19 HYPERLINK l _Toc138075504 4.1 功能模块分述 PAGEREF _Toc138075504 h 19 HYPERLINK l _Toc138075505 4.1.1 80C196KC PAGEREF _Toc138075505 h 19 HYPERLINK

11、 l _Toc138075506 4.1.2 ULN2803 PAGEREF _Toc138075506 h 22 HYPERLINK l _Toc138075507 4.2 系统结构 PAGEREF _Toc138075507 h 22 HYPERLINK l _Toc138075508 4.2.1 CPU电路 PAGEREF _Toc138075508 h 22 HYPERLINK l _Toc138075509 4.2.2 同步信号电路 PAGEREF _Toc138075509 h 24 HYPERLINK l _Toc138075510 4.2.3 晶闸管移相触发脉冲电路 PAGER

12、EF _Toc138075510 h 24 HYPERLINK l _Toc138075511 4.2.4 键盘电路 PAGEREF _Toc138075511 h 29 HYPERLINK l _Toc138075512 4.2.5 显示电路 PAGEREF _Toc138075512 h 29 HYPERLINK l _Toc138075513 5 软件设计 PAGEREF _Toc138075513 h 30 HYPERLINK l _Toc138075514 5.1 主程序设计 PAGEREF _Toc138075514 h 30 HYPERLINK l _Toc138075515 5

13、.2 速度PID程序 PAGEREF _Toc138075515 h 31 HYPERLINK l _Toc138075516 5.3 电流PID PAGEREF _Toc138075516 h 33 HYPERLINK l _Toc138075517 结 论 PAGEREF _Toc138075517 h 35 HYPERLINK l _Toc138075518 致 谢 PAGEREF _Toc138075518 h 36 HYPERLINK l _Toc138075519 参考文献 PAGEREF _Toc138075519 h 37 HYPERLINK l _Toc138075520 附

14、录1 程序清单 PAGEREF _Toc138075520 h 38 HYPERLINK l _Toc138075521 附录2 系统总电路图 PAGEREF _Toc138075521 h 441 绪 论1.1 引言随着国民经济的飞速开展,科学技术日新月异,智能控制系统得到了广泛的应用。如:智能大厦、无人值守泵站、无人值守供热站、各种遥控调度系统、生产作业自动化等等。这正是国家实现科学技术现代化的重要标志,也是每一个技术人员肩负的重要责任。智能控制技术的应用,给我们提出了很多要求。如电网的波动性,执行机构的智能配套等,都要求越来越严格。作为重要驱动执行机构的电动机来说,它的控制方式受到广阔技

15、术人员的高度重视。既要为智能控制打下良好根底,又要降低电动机起动时对电网的冲击。所以,不得不在电动机的起动设备和方式上改良。传统的电机起动方法,除小功率的电机以采用直接起动外,大、中型电机一般采用定子串电抗起动、Y-起动、自耦变压器起动和延边三角形起动4种方法。这几种方法本质上是降压起动,目的是限制起动电流,防止过大的起动电流冲击电网,以保证电动机起动的正常进行。但定子串电抗起动时,起动转矩降低过大或能量消耗过多,只能用于空载和轻载起动;Y-起动方法简单,价格廉价,但要求定子绕组引出6根出线端,也只能用于空载和轻载下进行;自耦变压器起动具有较高的起动性能,但体积大,价格高;延边三角形起动设备简

16、单,但要求电机专门设计。所有这些起动方法还有一个共同的缺点,就是电流幅值、起动时间不可调节。由于这些诸多因素的影响,造成许多电动机的损坏,甚至烧毁,每年给国民经济造成损失,其中重要的原因电动机的起动电流过大,一般是额定值的 5至7倍。特别是在一些特殊的使用场合比方钢厂的给压力罐加压的电机,由于起动非常频繁,这个问题就更加突出。同时电动机因短路,断相,过压过流,欠压堵转等因素引起电机故障也时有发生。电动机电子软起动器的诞生给技术人员解决了这个问题。它既能改变电动机的起动特性保护拖动系统,更能保证电动机可靠起动,又能降低起动冲击,而且配有计算机通讯接口实现智能控制。一般情况下,一台智能电子软起动器

17、,既节省了工程造价,而且故障率也较低,维修费也低。所以,电子软起动器应是我们首选的目标。1.2 软起动器的实现方法软起动器是一种功能极强的电动机新型起动设备,其主回路采用过载能力很强的晶闸管作为相控元件,采用反并联可控硅连接方式,通过调节可控硅的导通角方式变压起动。目前电机软起动器技术很多,可以说五花八门,虽然多数没形成产品,但有些技术还是很成熟的,其主要有以下几种技术:180C196KC为核心部件的软起动器装置以80C196KC单片机为核心,主要由软开关,采样电路、控制电路三局部组成。利用晶闸管的调压原理,调节晶闸管触发角,实现电动机的软起动,即晶闸管为无触点开关使用。同时装置还具有短路、断

18、相、过流、过压、欠压和堵转等保护功能及数码显示功能。保护的整定值可通过键盘来任意设置和修改。晶闸管的触发脉冲取自80C196KC单片机的高速输出口HSO,其输出脉冲经光电隔离、驱动本装置使用MC1413处理后经MB产生晶闸管所需的触发脉冲。晶闸管的触发零点由的同步电路产生的脉冲进行控制。2以8051为CPU的软起动器该软起动器成套性能好,且易实施远动操作和自动化操作。同时,可根据用户的要求,将软起动主机组装到GGB型及其他型号低压配电柜内,保持柜体的一致性。该装置提供了软起动,软停车,限流控制,电流保护等运行方式和保护功能。3双CPU软起动器该控制系统的主回路采用三相交流调压电路,软起动器工作

19、时A相闭合,起动过程完成后,由程序控制B相闭合,A相自动断开,软起动器退出运行。基于微机的控制器用于产生交流调压电路所需要的触发脉冲。控制器用双8751单片机系统来实现,其中CPU1系统完成起动控制功能,CPU2系统实现参数设置,远控功能,两个系统之间采用RS485通讯交换数据。CPU1系统由8大局部组成:主要有同步信号检测电路,电源电路,脉冲功放电路,电流检测电路、继电器控制电路和信号显示等。因采用双CPU方式,CPU1置于开关柜内,带操作盘的CPU2那么不用固定位置,所以可以方便地实现远控。该控制器的 最大优点是节能。4DWR-1电动机的CMOS集成电路软起动器DWR-1型电动机的软起动控

20、制器采用CMOS全数字集成电路设计,以模块结构供用户选择。具有整体电路结构简单,高科技含量、维护简单、价格低,适应环境温度范围比拟大。0380V渐渐平滑起动,对电网及负载无冲击可延长机械设备使用寿命。该产品整体电路局部由两大局部构成:主回路和软起动中心控制器组成。主回路由晶闸管、压敏电阻和综合保护器组成。主要对于缺相、过载、过流都能可靠停机保护。软起动控制器主要由软起动控制板驱动模块及同步变压器组成,其重要功能是接收脉冲信号,并在脉冲信号的控制下按预定时间改变晶闸管的导通角,以到达软起动控制的目的。58096构成软起动器该软起动器主要由CPU和8096的主控板、驱动板、电机主控制回路、控制面板

21、组成,具有控制功能、电机保护功能及显示、报警、参数设置功能。本系统主要功能:控制功能:自动/手动控制电机启、停。保护功能:涉及整个系统。缺相、相序错、电机过流、过载等任一异常时,保护动作自动停机。监视、报警功能:实时监视系统的运行工况,在控制盘上有主回路电流、电压指示及显示系统运行状态,当系统出现故障时,控制盘上有详细的故障报警显示,同时向中控室发警报信号。6智能性软起动器整个系统包括由主电路CPU、触发驱动单元、参数设置和状态显示单元、保护电路以及输出单元。CPU根据参数和设置方式以及实时检测值控制a角的大小,以实现调压、限流软起动。另外,智能性软起动器可以设置3种起动方式,即电压方式、助起

22、动方式和电流方式;可以设置为自由停机、软停机和能耗停机3种停机方式。智能型软起动器能实现短路、缺相、内部故障及过载自锁功能,还具有过载信号输出、起动结束信号输出和能耗制动状态输出功能。78098构成的变频调速软起动器该系统采用了单片机技术可以做到平稳地逐步升高电压,同时考虑到由于电动机起动电压的下降而使电动机转动力矩也将减小电动机的转矩与外接电压的平方成反比。根据只要U/F不变那么转动力矩不变的原理,该系统除了设定工频50HZ软起动外,还采用了变频技术进行起动,即在降低电压的同时降低频率,以保证电机起动时有一个较大的力矩。这种软起动器主要是采用了8098 16位单片机作为核心部件,外部扩展1片

23、27648KB ROM、1片28648KB EEPROM。2764用来存放关键数据、工作信息及口令等。并行I/O接口8255A的PA口及PB口的PB2PB0用于键盘扫描,以便实现人机对话、参数设定及口令输入。PB口除了读出键盘扫描值外,主要用于显示6位LED数码管各种参数及信息。PC口扩展LED信号灯,用于工作状态及故障指示。高速输入接口HSO05用于控制交频及起动,高速接入接口ACH4用来对电机的电流进行检测。扩展的GAL16V8主要进行译码以便系统进行分时操作。8集成电路构成的软起动器该控制电路主要由三片KJ006晶闸管移相电路、函数发生器、高频信号发生器、同步信号及功放电路等组成。函数发

24、生器是固态起动器的核心部件,它是实现电动机的起、停及软起动的关键。软起动到达降低起动电流的目的,并实现节能。高频信号发生器产生脉冲,使功放管获得高频双脉冲,以减少功放管的功耗。9一种基于FPGA技术的软起动器90年代,FPGA技术的出现使数字系统的设计方法发生了突破性变革,且促进了电子数字系统集成设计的开展。FPGA的一系列新颖功能,使电子设计者能够在实验室里 进行现场编程、现场配置、现场修改和现场验证,从而现场实现了数字系统的集成化设计和应用。应用FPGA技术设计数字系统,其流程可以分为三大局部:设计输入,设计实现,现场验证仿真。本控制器采用了XC4010E-3PQ160C芯片,该芯片具有1

25、44个IOBS端口,一万个可用逻辑门和丰富的内部连线资源。同该系列中的其他产品相比除了具有一个存储配置程序的分布式存储器,由它来控制和驱动前述的三类可配置单元,从而大大增强了芯片的逻辑功能。1.3 软起动器的开展方向短期展望:软起动将仍然以各种形式的降压限流软起动为它的主要形式。从理论上说,性能价格比高的产品将占有更大的市场份额。但是,在各种应用场合,人们对于各种性能的侧重面不同,使各类起动产品包括传统的星三角起动在市场上都可能会赢得自己的一席之地。长期展望: 变频软起动将成为软起动的主流。各种形式的降压软起动将与星三角起动等技术一起归并为传统的起动技术。随着变频器价格的逐渐下降,可靠性的进一

26、步提高,也随着技术人员水平的提高,仅仅为软起动而选用变频器将不再会被人们认为是一种奢侈。变频软起动胜出的根本原因是:它可以在限流起动电流不超过电机额定电流值的同时获得大的起动转矩,可以实现包括软停止在内的各种起动功能。于仅仅为软起动而制作的变频器而言,应在起动完成后使变频器脱电,使电动机直挂电网。这样做的目的是节能,消除无谓的损耗。这种操作应该在绝对平安的不引起电流冲击的条件下完成,或者叫做软切换。软切换的可能步骤是“先脱电后挂网或“先挂后脱。软切换应该在根本上不增加硬件例如软切换电抗器的条件下主要靠软件完成。未来成为主流产品的软起动装置将是带有软切换功能的廉价的变频器。2 软起动原理通常情况

27、下,电机的起动采用直接起动和降压起动两种方式。2.1 直接起动的危害直接起动是最简单的起动方式,起动时通过开关或接触器将电机直接接到电网上。具有起动设备简单,起动速度快的优点,但其危害很大:1、电网冲击大。过大的起动电流 (空载起动电流可到达额定电流的4-7倍 ,带载可到达8-10倍或更大),会造成电网压降,影响其它用电设备的正常进行。还可能使欠压保护动作,造成用电设备的有害跳闸。同时过大的起动电流会使电机绕组发热,从而加速绝缘老化 ,影响电机寿命。2、机械冲击严重,过大的冲击力矩容易造成电机转子笼条、端环断裂和定子端部绕组绝缘磨损,导致绝缘击穿烧毁电机,转轴扭曲,联轴节、传动齿轮损伤和皮带撕

28、裂等。起动过程中的压力突变往往造成泵系统管道、阀门的损伤,缩短使用寿命,影响传动精度,甚至影响正常的过程控制。所有这些都给设备的平安可靠运行带来威胁,同时也造成过大的起动能量损耗,尤其当频繁起停时更加严重。2.2 传统降压起动方式的性能比拟传统的降压起动主要有以下几种方法 :1、Y起动;这种方法适用于正常运行时定子绕组采用接法的电机。定子有六个接头引出端,接到转换开关上 ,起动时采用 Y接法 ,起动完毕后再切换成接法。起动电压为220V,运行电压为380V。这种起动设备的优点是起动设备简单,起动过程中消耗能量少。缺点是有二次电流冲击 ,设备故障率高,需要经常维护,所以不宜使用在频繁起停的设备上

29、。2、自藕变压器减压起动;三相自藕变压器高压边接电网,低压边接电机。一般有几个中心抽头 ,可选择不同的电压比,以适应不同起动转矩的负载,在电机起动完毕后将其切除。其优点是起动电压可以选择,如0.65、0.8或0.9Ue(Ue为额定电压),以适应不同负载的要求。缺点是体积大、重量重,且要消耗较多的有色金属,故障率高,维修费用高。3、磁控软起动器 :磁控软起动器是利用控磁限幅调压的原理,在电机起动过程中电压可由一个较低值平滑地上升到全压,使电机轴上的转矩匀速增加,起动特性变软,并可实现软停车。但其起动电压在200V左右,用户不可调整,会有较大的电流冲击,且体积较大。2.3 软起动器介绍软起动器是一

30、种集电动机软起动、软停车、轻载节能和多种保护功能于一体的新型电动机控制装置,国外称为Soft Starter。它的主要构成是串接于电源与被控电机之间的三相反并联晶闸管及其电子控制电路。软起动器实际是一个晶闸管调压调速的线路,改变晶闸管的触发角,就可以调节晶闸管调压电路的输出电压,其特点是电机转矩与加在定子端的电压平方成正比,同时电机电流与定子电压成正比。因此,可以通过控制加在电机定子上的电压对电机加速转矩和起动电流进行限制,以降低电机起动时所产生的过高起动电流和起动力矩。电压的变化可通过控制晶闸管的导通角来实现。软起动器主回路每相由两只晶闸管反并联,采用全数字无矢量控制技术。工作时,CPU收到

31、控制信号后,根据用户的设定自动控制晶闸管触发脉冲移相,使得电机按设定的曲线平滑起动。起动结束后,CPU发出信号,使旁路真空接触器进入正常工作状态,晶闸管暂停工作。需要停车时,给出停车信号,CPU控制真空接触器断开,同时控制晶闸管触发脉冲移相(与起动时方向相反),由晶闸管完成软停车过程。惯性停车时,CPU直接控制真空接触器断开,电机断电,实现惯性停车。2.4 软起动器特点本软起动器以80C196KC单片机为核心,运用双向晶闸管调压的方法,实现电动机的平滑起动。它具有如下主要特点:1单片机全数字自动控制;2起始转矩可调,可为额定转矩的3倍;3爬坡时间内可调;4起动电流可在额定电流内调整;5可保存起

32、动参数,关机后参数不丧失。2.5 软起动原理软起动装置是利用性能先进的单片机来控制大功率晶闸管组件的导通角,以产生平滑的转矩。逐渐增加施于交流电动机上的交流电压,使电机能按预先设定的方式和参数渐进的加速,即到达软起动的目的。目前软起动主要有以下五种起动方式,如图21所示:图2-1电动机的五种软起动方式限流起动:顾名思义是限制电动机的起动电流,它主要是用在轻载起动的负载降低起动压降,在起动时难以知道起动压降,不能充分利用压降空间,损失起动力矩,对电动机不利。斜坡电压起动:顾名思义是电压由小到大斜坡线性上升,它是将传统的降压起动从有级变成了无级,主要用在重载起动,它的缺点是初始转矩小,转矩特性抛物

33、线型上升对拖动系统不利,且起动时间长有损于电机。转矩控制起动:用在重载起动,它是将电动机的起动转矩由小到大线性上升,它的优点是起动平滑,柔性好,对拖动系统有更好的保护,它的目的是保护拖动系统,延长拖动系统的使用寿命。同时降低电机起动时对电网的冲击,是最优的重载起动方式,它的缺点是起动时间较长。转矩加突跳控制起动与转矩控制起动:相仿也是用在重载起动,不同的是在起动的瞬间用突跳转矩克服电机静转矩,然后转矩平滑上升,缩短起动时间。但是,突跳会给电网发送尖脉冲,干扰其它负荷,应用时要特别注意。电压控制起动:是用在轻载起动的场合,在保证起动压降下发挥电动机的最大起动转矩,尽可能的缩短了起动时间,是最优的

34、轻载软起动方式。2.6 三相晶闸管调压原理利用三相晶闸管可以实现三相电压的调压,其原理图见图2-2。其调压原理为:控制晶闸管的触发角,晶闸管门极的触发相序是VT1、VT3、VT5,触发相序依次滞后120度,VT4,VT6,VT2的触发相序又分别滞后于VT1、VT3、VT5180度,这样,VT1VT6依次滞后60度。当触发角改变时,三相相电压也随之变化。图2-2电动机软起动原理电路图3 主回路设计3.1 系统工作原理电动机软起动器由主电路、同步电路、检测电路等组成,此外还有显示、键盘、通讯等模块。本系统采用三相分支双相控制电路,可控硅触发脉冲的产生与移相由单片机控制输出,经过功放电路送到可控硅的

35、门级,整个系统的结构图如图31所示,速度给定信号与速度的反应信号的偏差作为速度控制器的输入信号,而速度控制器的输出信号与电流的反应信号的偏差作为电流控制器的输入信号,电流控制器的输入信号经过光电隔离去驱动晶闸管到达调速的目的。本次设计是利用三相晶闸管调压原理来实现平稳起动电动机的,其主回路原理图见图3-2所示。其工作原理为:在电动机起动开始时,QF闭合,控制晶闸管的触发角为最大,即180度,此时,电动机的起动电流为0,逐渐减小晶闸管的触发角,使起动电流逐渐平稳的上升,当到达电动机电流的额定值后,KM闭合,晶闸管电路被旁路掉,软起动装置退出运行。程序存储器LED显示触发脉冲驱动模块主回路晶闸管门

36、极键盘EXTINTBUSHIS I/O 80C196KCA/D HI/O电机同步电路电流检测电压检测 电压给定图3-1 系统结构图图3-2装置整机电路原理框图软停车时,KM断开,此时电动机的电流最大,晶闸管的触发角为最小,逐渐增大晶闸管的触发角,使电动机的电流平稳的减小,直至晶闸管的触发角为最大,电动机的电流降为0,到达软停车的目的。软停车的电流曲线如图4:图3-3 软停车电流变化曲线3.2 旁路系统旁路系统:电机运行时可以防止软起动器产生谐波。晶闸管仅在起动停车时工作,防止长期运行使晶闸管发热,延长使用寿命。当软起动器发生故障,可由旁路作为应急备用。其结构如下列图6所示无旁路系统:其结构如下

37、列图5所示 图3-4 无旁路系统 图3-5 旁路系统3.3 晶闸管的参数计算晶闸管的选择主要依据以下参数:通态平均电流IT(AV)、断态重复峰值电压U及反向重复峰值电压U。通态平均电流I的计算方法为:当知道电流的有效值I时,I2对于本系统中,电动机的参数如下:鼠笼式异步电动机Y接:额定功率:P=110KW 额定电压:U=380V 额定电流:I=220A 额定转速:n=1460r/min 依据电动机的参数可以求得: I2=210280V断态重复峰值电压UUDRM及反向重复峰值电压UURRM的计算方法为:当晶闸管实际承受的最大峰值电压为Um时 :U=U= U=(23)Um 对三相电路 : U= U

38、= U=23Um=10761604取I=250A;U=1500V。可选KP25-15型的晶闸管。3.4 交流过电压保护交流侧可能产生过电压的现象,例如,降压变压器初级合闸时,初级施加的高压会通过初、次级绕组间的分布电容耦合到次级,使之出现感应过电压。除了这种操作过电压外,还有由于雷击等外部因素侵入电网的偶然性的浪涌过电压,过电压倍数会更高。交流过电压可以采用压敏电阻保护,压敏电阻是一种常见的非线性保护元件。因伏安特性对称于原点,故具有双向限压作用。压敏电阻是由氧化锌等烧结而成的非线性电阻元件。具有明显的击穿电压,在施加电压低于击穿电压时,漏电流仅为微安级,损耗小;在施加电压超过击穿电压时,压敏

39、电阻击穿,可以通过很大的浪涌电流,几乎呈现恒压特性。压敏电阻的选择主要根据额定电压和通流容量。本系统中依据电动机和晶净闸管的参数查表可得:MYG3/MYG4-680V型的压敏电阻符合要求,其具体参数如下:标称电压:680V 最大连续工作交流电压:420V电源电压:380V 最大限制电压:1120V3.5 过电流保护过电流保护可以采用串联熔断器、过电流继电器、快速开关、电子过电流保护等方法。合理的配置与选择过电流保护是能否起到有效保护作用的关键。快速熔断器是目前广泛应用的保护措施,在发生过电流时,利用其快速熔断特性和晶闸管过载特性相配合,使其先期熔断并切断电路,保护晶闸管。快速熔断器具有通过电流

40、越大,熔断时间越短的特点,适合作短路保护,但是不宜作过载保护。快速熔断器的选择主要依据额定电压UKT、额定电流Ikr两个参数。本系统中快速熔断器可以选择RS3-500/250型,其主要参数如下:额定电压:500V 熔断器额定电流:300A熔断体额定电流:250A 最大耗散功率:85W 3.6 晶闸管关断过电压阻容保护在晶闸管关断过程中,因反向电流迅速减小,回路中会产生很高的过电压。一般来说,晶闸管的关断过电压通常是采用晶闸管两端并联阻容保护。本系统中依据需要电阻R和电容可以选择R=75F3.7 电流检测本设计中的电流检测环节主要使用的是电流互感器,利用其检测出交流电流,经由二极管组成的整流桥电

41、路变换成直流后再送入CPU内,CPU根据事先的设定作出处理,从而控制电流的变化区域,即实现了电流环的功能。其图如下列图3-6所示:此局部各元件的具体参数如下图。图3-6 电流检测3.8 测速控制本设计中的转速环是通过测速发电机将转速转换成电压送入80C196KC,经CPU按照预先设计的要求处理后发出控制信号对电动机的转速进行调节控制,即实现了转速环的功能。如图3-7所示:根据鼠笼式异步电动机Y接的参数可以确定出转速环各部件的参数如下:测速发电机: 功率:22W 转速:2000r/min其它参数见图3-7所示:图3-7 转速测量4 控制回路设计控制回路以80C196KC单片机为核心,主要由同步信

42、号电路、触发电路、反应电路、键盘电路和显示电路5局部组成。4.1 功能模块分述4.1.1 80C196KC80C196KC是CHMOS高性能16位单片机中第二代产品,其芯片如图5:图4-1 80C196KC芯片80C196KC有68个引脚,5个8位I/O口,其中P0是只用于输入的口,与A/D转换器的模拟输入端共享引脚;P1是准双向口;P2是多功能口;P3和P4可作双向口或系统总线。6个HSO输出端口,4个输入端口。它的主要特征有:80C196KC内部EPROM/ROM为16KB,内部RAM为488字节,采用“垂直窗口结构使新增的256字节的RAM通过窗口映射同样可以作为通用存放器来访问。 =

43、2 * GB3 有3个PWM脉宽调制输出; = 3 * GB3 80C197KC对HSO增加了一条新的的命令格式,可对所有的HSO引脚同时寻址; = 4 * GB3 80C196KC的A/D 转换器可采用10/8位转换方式,此外还可以对采样时间和转换时间进行选择; = 5 * GB3 80C196KC有两个不可擦出的可编程存储器UPROMUNERASABLE PPROM,强了加密手段 = 6 * GB3 80C196KCK可采用16MHz的晶振,起速度比12MHz快33%;1存储空间80C196KC内部EPROM/ROM为16KB,内部RAM为488字节,采用“垂直窗口结构使的新增的256字节

44、的RAM通过窗口映射同样可以作为通用存放器来访问。2定时器80C196KC有两个定时器,3高速输入器HSI高速输入器HSI用于记录某一外部事件发生的时间,时间基准又定时器1提供,共可以记录8个事件。有4根引脚HSI.0HSI.3可以作高速输入脚,其中和是双向引脚,也可以用作高速输出引脚和,它们的功能靠I/O控制存放器IOC0和IOC1来选择。它包括事件检测器、先进先出FIFO队列存放器,保持存放器、HSI时间存放器、HSI事件形式存放器、HSI状态存放器组成。4高速输出器HSO高速输出HSO用于按程序设定的时间去触发一事件,由于要求CPUCentral Processing Unit的开销极少

45、,故速度极高,触发的事件包括:起动A/D转换、复位定时器、设置4个软件定时器标志或接通多达6根输出线HSO.0HSO.5,同一时可以挂号8个事件。当事件被触发时,还会发生中断请求。HSO由HSO命令存放器、HSO时间存放器HSO保持存放器、内容存放器CAM阵列、控制逻辑、多路开关、定时器1定时器2和输出部件组成。5A/D转换器80C196KC的A/D转换器有两种转换方式:一种是逐次逼近型的10位A/D转换,共有8个通道;另一种是8位的A/D转换,其转换速度快,但分辨率低。采样窗口和时间都可以用软件来设置。其输入引脚是与P0.0P0.7共享的ACH0ACH7。它是由一个8通道的摸拟多路转换开关、

46、一个采样/持电路、一个逐次逼近型A/D转换器、A/D命令存放器、A/D结果存放器和控制逻辑存放器等组成6模拟输出80C196KC可以采用两种模拟输出:一是通过内部脉宽调制器(PWM)提供,周期固定、占空比可变、分辨率为1/256的脉宽调制方波;另一种是通过HSO提供,周期和占空比可变、分辨率为1/65536的脉宽调制方波。两种输出都可以经过滤波后变为直流模拟信号。利用HSO输出PWM脉冲,可以把定时器1作为PWM中的计数器,把HSO中的一个CAM存放器作为PWM存放器。利用HSO产生PWM脉冲时,要向CAM写2个数据,一个数据控制HSO的某一输出脚变为高电平,另一数据控制同一输出脚变为低电平。

47、CAM有8个存放器,共可以控制HSO的四根输出线。通过修改写入CAM的数据可以改变输出的脉冲周期和占空比,定时器1输入脉冲周期为2s,所以输出PWM脉冲周期最高咳达约131ms。此时输出的是TTL电平的脉冲,为得到较高精度的8位D/A输出,在滤波前应先经过缓冲,使PWM信号的幅度为05V。4.1.2 ULN2803ULN2803通常用作驱动,在本设计中将其用作显示电路中LED的驱动,其引脚如图4-2所示:图4-2 芯片ULN28034.2 系统结构4.2.1 CPU电路由80C196KC为核心组成的CPU电路包括程序存储器EPROM电路、总线及读写控制电路以及CPU的时钟电路、复位电路和报警电

48、路等,由于80C196KC内部没有程序存储器ROM,故其外围电路必须扩展EPROM,并且使用地址锁存器74LS373锁存地址,以实现数据地址复用.在此不再详述,其电路图4-3所示: 图4-3 CPU电路4.2.2 同步信号电路同步信号电路如图4-4所示。来自同步变压器的二次电压Uta、Utb、Utc与反并联晶闸管的三相交流电压同相位。Uta、Utb、Utc经R1、C1滤波电路附加相移30、波形变换、光耦隔离、整形电路后输出三相方波电压,记为KA、KB、KC。三相方波分别送给80C196KC单片机的P4口的P4.5、P4.6、P4.7端。CPU根据KA、KB、KC的值能判断三相交流电源的相位。三

49、相方波信号经D4、D5、D6异或门电路产生6倍频的脉冲信号Utp,脉冲宽度取决于R4、C2电路的参数。波形分析如图4-5所示。同步脉冲信号Utp加到80C196KC外中断输入EXTINT端,作为同步中断信号。Utp同时加到高速输入电路的HSI.1端,以供监视同步中断信号Utp是否正常可以检测到缺相故障。4.2.3 晶闸管移相触发脉冲电路移相控制时通过同步中断脉冲开始后的延时控制来实现的。同步中断脉冲的硬件电路前面已述。移相控制是由软件来实现的。对于工频交流电源,每个工频周期为20毫秒。因此,移相控制角和延时时间是对应的。在96系列单片机中,由高速输出系统HSO实现延时控制不需占用CPU的处理事

50、件。这是一大优点。对应移相控制角的延时时间常数用TA表示。经分析,在晶振频率为12MHz时,状态周期为0.25微秒,那么定时器T1的计时周期为Tj=800.25=2ms。那么TA与的关系为:T=.=式中,期望的移相控制角图4-4 同步电路图4-5 同步电路波形分析当从0变化到180式,TA从0变化到5000,即TA的最大值TAMM=5000。显然,通过限制TA,即可限制min,max.按照模拟触发电路的思路,触发电路的控制电压Uct的关系为:TA=TAMM-Uct式中,TA的取值范围为0TAMM。TAHSO.5端分别输出16脉冲,但这6根引脚输出地为单脉冲,经或非电路D1D6后,输出为双窄脉冲

51、。经光耦隔离电路、功率防大电路及脉冲变压器后输出双窄触发脉冲。例如,经TM1,也称为补脉冲由此也说明,对1至6单脉冲是有相序要求的,即对同步电压Ura、Urb、Urc有相序要求。不然,如果同步电压相序不正确,会导致1双脉冲中的补脉冲即2单脉冲要超前1单脉冲,从而使整流器的控制角在不同相序条件下相差60。图4-6 双脉冲形成及脉冲输出电路如图4-7所示,相电压的交点T1T6,就是可控硅六个管的控制起点,六个管的导通的顺序为SCR1、SCR2、SCR3、SCR4、SCR5、SCR6。取线电压Ubc从负半波到正半波的过零G即T1时刻,作为同步基准点,那么触发导通的第一对可控硅为SCR1和SCR6。根

52、据波形图可以知道个可控硅的触发时间及触发顺序如图4-8所示:。 UA1UB1UC10tUtt00Utp1Utp2Utp3Utp4Utp5Utp6Utp1图4-7 相、线电压波形图与可控硅触发时间的关系图4-8 各可控硅触发时刻与顺序4.2.4 键盘电路本系统设置了MODE方式选择键、LOCK锁定键、UP增量键、DOWN减量键4个键,通过程序可以检测键盘是否一次按下或一直按下,即扫描键盘的状态,其图如4-9所示:图4-9 键盘电路图 图4-10 7段数码管LED4.2.5 显示电路LEDLght emitting diode是一种外加电压阳极电位比阴极电位高超过额定电压时发生击穿,从而流过电流并

53、发出可见光红色、绿色、黄色或橙色的器件。LED是属于电流控制型期间其工作电流通常在220mA范围内,工作压降2V左右,使用时必须加限流电阻。由于LED体积小、功耗省、响应快、所以用途很广。除了作为微机显示器,还可以用于大屏幕显示装置。在单片机应用系统中,通常使用8个LED器件组成的七段LED显示器。其中7个LED构成七笔字型,另一个LED构成小数点故有时也称为八段显示器,如图4-10所示:本系统中的显示即是采用7段数码管来实现的。通过ULN2803驱动,再接限流电阻,最后接到数码管上,即LED显示。此局部只需要要确定限流电阻,其阻值为:R=1K5 软件设计本系统采用结构化模块化思想,程序主要由

54、3局部组成:软起动HSO子程序、保护子程序、显示子程序3局部。采用96系列单片机汇编语言编程,实现对数据的判断、分析,控制功能。5.1 主程序设计主程序主要完成初始化、键盘显示、速度PID运算、电流PID运算和标度变换等功能。程序流程图如下列图5-1所示:初始化 开中断键盘速度PID显示电流PID图5-1 主程序流程图5.2 速度PID程序其程序如下列图5-2所示:输入 Cn Rn 计算en= rn -cn计算Pp=Kp(en-en-1)计算PI=KI*enun un-1, en-1 en-2 en en-1 unun= un-1+PI+Pp+PD计算PI+Pp计算PD=KD(en-2en-1

55、-en-2)返回图5-2 速度PID程序流程图参数内存符号说明存储单元符号说明3AHee低8位3BHee高8位3CHee低8位3DHee高8位2AHC采样值3EHee低8位2BH暂存单元3FHPP高8位2FHuu高8位40HPP低8位30Huu低8位45HK导通定时31Hr给定值高8位46H暂存单元32Hr给定值低8位47H暂存单元33HKK高8位48H暂存单元34HKK低8位49H暂存单元35HKK高8位4AH暂存单元36HKK低8位4BH暂存单元37HKK高8位4CH暂存单元38HKK低8位4DH暂存单元39Hee高8位暂存单元5.3 电流PID其程序流程图如下列图5-4所示:输入 计算e

56、n= rncn计算Pp=Kp(en-en-1)计算PI=KI*enun un-1, en-1 en-2 en en-1 unun= un-1+PI+Pp+PD计算PI+Pp计算PD=KD(en-2en-1-en-2)返回图5-3 电流PID程序流程图参数内存分配表存储单元符号说明存储单元符号说明5BHee低8位5CHee高8位5DHee低8位5EHee高8位4EHC采样值5FHee低8位4FH暂存单元60HPP高8位5OHuu高8位61HPP低8位51Huu低8位62HK导通定时52Hr给定值高8位63H暂存单元53Hr给定值低8位64H暂存单元54HKK高8位65H暂存单元55HKK低8位6

57、7H暂存单元56HKK高8位68H暂存单元57HKK低8位69H暂存单元58HKK高8位6AH暂存单元59HKK低8位6BH暂存单元5AHee高8位暂存单元各局部程序见附录1 程序清单。结 论 本次设计的电动机软起动器主要由80C196KC、2864A、74LS373、ULK2803等元器件和CPU主板、主控显示面板、驱动板、电动机控制回路组成,具有根本的控制功能、电动机保护功能、报警、参数设置等功能。经过我和与我同组设计主要做软件设计的同学的共同努力下,我们调试成功了软起动器的局部程序,并解决了电动机的起动和停车的平滑控制。我们用2864A来扩展单片机的外围程序存储器,利用同步移相触发电路来

58、控制晶闸管的倒通。本系统的主要功能有:1实现了电动机起动和停车的平滑性;2实现了各种过电压、过电流的保护,一出现异常,马上报警并自动跳闸;3实现了对电流幅值和起动时间的修改;4减少了系统对电网电压的冲击。在本次电动机软起动装置的研究设计中,我不仅提高了综合运用所学知识解决实际问题的能力,而且提高了科研和工程实践技能水平,也提高了我的运算能力、识图与制图能力、实验与调试能力、查阅手册、使用国家技术标准和信息资料检索能力,文字表达能力和一般组织能力。致 谢本次毕业设计历时将近3个月,用到的知识比拟多,涉及范围也比拟广,难度也较大,但在王新老师的帮助下得已顺利完成。在此我对王老师表示由衷的感谢!由于

59、时间仓促,许多方面可能还没有考虑周到,存在一些缺乏之处,希望得到各位老师的帮助加以更正。最后由衷的感谢王老师以及给予我帮助的同学!参考文献1陈伯时.交流调速系统.北京:机械工业出版社,2胡崇岳.现代交流调速技术.北京:机械工业出版社,3周明宝.电力电子技术.北京:机械工业出报社,4高东林.单片机系统可靠掉电保护的实现.测控技术,5蔡洪亮.三相异步电动机的晶闸管软起动方法及设计.黑龙江: 大学自然科学学报,2000年12月,6.:浙江大学出版社,J19957.上海交通大学出版社,19958 黄俊.半导休变流技术.北京:机械工业出版社,19809D.湖南大学出版社,200210柳青.异步电动机的软

60、起动与软制动技术J.湖南工业职业技术学院学报,2002 11.东北电力技术J12袁运华等.基于80C196KC的微机软起动及保护装置的研制.中国农村水利水电,2000年第6期13杨群.三相异步电动机软起动技术.节能技术,14沈颐,欧阳培昊,廖泰长.一种新型的异步电动机软起动控制器.计算技术与自动化,1999年12月15崔令洲.DWR-1电动机的软起动器.电子电路制作,2002年第一期16李志.大中型电动机软起动器的应用及改良.华中电力,2001年第3期17曾春年.智能性软起动器功能分析及应用.武汉汽车工业大学学报,1996年12月18潘新民.微型机在电机软起动系统中的应用.微型机与应用, 19

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论