数字电路复习指导_第1页
数字电路复习指导_第2页
数字电路复习指导_第3页
数字电路复习指导_第4页
数字电路复习指导_第5页
已阅读5页,还剩85页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第一章 小 结1. 数制:计数方法或计数体制(由基数和位权组成)种种 类类基基 数数位位 权权应应 用用备备 注注十进制十进制0 910i日常日常二进制二进制0 ,12i数字电路数字电路2 = 21八进制八进制0 78i计算机程序计算机程序8 = 23十六进制十六进制0 9,A F16i计算机程序计算机程序16 = 24 各种数制之间的相互转换,特别是十进制二进制的转换,要求熟练掌握。2. 码制:常用的 BCD 码有 8421 码、2421 码、5421 码、余 3 码等,其中以 8421 码使用最广泛。练习 完成下列数制和码制之间的相互转换161028) () () () 35 ( . 2

2、1 1 0 1 0 18421BCD210) () () 151 ( . 4 128 16 4 2 10001 0101 000110216) () () DE2 ( . 3 BD8421BCD) () () 1 0 0 1 0 1 ( . 5 0 1 1 1 1 0 1 1 0 11 0 1 1 192512 128 64 16 8 4 21 1 1 0 1 0 0 132 8 2 143168210) () () () 37 ( . 1 1 0 1 0 0 1 32 4 145252B73416 8 4 11. 三种基本逻辑运算:与 、或、非2. 四种复合逻辑运算:与非 、或非、与或非、异

3、或 是推演、变换和化简逻辑函数的依据,有些与普通代数相同,有些则完全不同,要认真加以区别。这些定理中,摩根定理最为常用。真值表 函数式 逻辑符号练习 求下列函数的反函数(用摩根定理),并化简。DACBAY 解)( )(DACBADACBADACBAY DCCADBABDA CAABDA 化简的目的是为了获得最简逻辑函数式,从而使逻辑电路简单、成本低、可靠性高。化简的方法主要有公式化简法和图形化简法两种。1. 公式化简法:可化简任何复杂的逻辑函数,但要求能熟练和灵活运用逻辑代数的各种公式和定理,并要求具有一定的运算技巧和经验。2. 图形化简法:简单、直观,不易出错,有一定的步骤和方法可循。但是,

4、当函数的变量个数多于六个时,就失去了优点,没有实用价值。 约束项:(无关项)可以取 0,也可以取 1,它的取值对逻辑函数值没有影响,应充分利用这一特点化简逻辑函数,以得到更为满意的化简结果。B) DA(DEBEABDBCAY )1(BDADEEADCAB 1 练习 用公式法将下列函数化简为最简与或式。DEBADBCACBADCDBCBACY )( (2)DEBACBADCDBCBAC DCDBCBA DBCBA DEBAADCDBCBAC 练习 用图形法将下列函数化简为最简与或式。(1) 画函数的卡诺图(2) 合并最小项:画包围圈(3) 写出最简与或表达式BCDCBDBABADCBAY 1.A

5、BCD000111100001111011111111BD DADCDCBDDAY 解11 dmDC ,B ,A ,F ) 15 14 13 , 12 11 10 () 9 8 2 1 0 ( ) ( . 2 ,(1) 画函数的卡诺图(2) 合并最小项: 画包围圈(3) 写出最简与或表达式ABCD00011110000111101CB DBDBCBY 解11110) 15 14 13 , 12 11 10 ( d,真值表、卡诺图、函数式、逻辑图和波形图。 它们各有特点,但本质相同,可以相互转换。尤其是由真值表 逻辑图 和 逻辑图 真值表, 在逻辑电路的分析和设计中经常用到,必须熟练掌握。 是数

6、字电路中的基本开关元件,一般都工作在开关是数字电路中的基本开关元件,一般都工作在开关状态。状态。1. :是不可控的,利用其开关特性可构成二极管是不可控的,利用其开关特性可构成二极管与门与门和和或门或门。2. :是一种用电流控制且具有放大特性的开是一种用电流控制且具有放大特性的开关元件,关元件, 利用三极管的饱和导通与截止利用三极管的饱和导通与截止特性可构成特性可构成 非门非门 和其它和其它 TTL 集成门电集成门电路路。3. :是一种具有放大特性的由电压控制的开关元件,利用是一种具有放大特性的由电压控制的开关元件,利用 N 沟道沟道 MOS 管和管和 P 沟道沟道 MOS 管可构成管可构成CM

7、OS 反相器反相器和其它和其它 CMOS 集成集成门电路门电路。 主要介绍了由主要介绍了由构成的与门、或门和非门。构成的与门、或门和非门。虽然,虽然,分立元件门电路分立元件门电路不是本章的重点,但是不是本章的重点,但是通过对这些电路的分析,可以体会到通过对这些电路的分析,可以体会到与与、或或、非非三三种最基本的逻辑运算,是如何用半导体电子电路实种最基本的逻辑运算,是如何用半导体电子电路实现的,这将有助于后面现的,这将有助于后面集成门电路集成门电路的学习。的学习。 主要介绍了主要介绍了 CMOS 和和 TTL 集成门电路,重点应放集成门电路,重点应放在它们的输出与输入之间的逻辑特性和外部电气特性

8、在它们的输出与输入之间的逻辑特性和外部电气特性上。上。1. :普通功能普通功能 与门、或门、非门、与非门、或非门、与或非与门、或门、非门、与非门、或非门、与或非 门和异或门。门和异或门。特殊功能特殊功能 三态门、三态门、OC门、门、OD门和传输门。门和传输门。2. :静态特性静态特性 主要是输入特性、输出特性和传输特性。主要是输入特性、输出特性和传输特性。动态特性动态特性 主要是传输延迟时间的概念。主要是传输延迟时间的概念。TTLCMOS分类分类工作电源工作电源VCC = 5 VVDD = 3 18 V输出电平输出电平UOL= 0.3 V UOH = 3.6 V UOL 0 V UOH VDD

9、 UTH = 0.5 VDD UTH = 1.4 V 阈值电压阈值电压输入端串输入端串接电阻接电阻Ri当当 Ri Ron(2.5 k )输入由输入由 0 1在一定范围内,在一定范围内,Ri的改的改变不会影响输入电平变不会影响输入电平输入端输入端 悬空悬空即即 Ri = 输入为输入为 “1” 不允许不允许多余输入多余输入端的处理端的处理1. 与门、与非门接电源;或门、或非门接地。与门、与非门接电源;或门、或非门接地。2. 与其它输入端并联。与其它输入端并联。练习练习 写出图中所示各个门电路输出端的逻辑表达式。写出图中所示各个门电路输出端的逻辑表达式。TTLCMOS&A1Y100 100k

10、 = 1A &A1Y100 100k = 1= 11A1Y100 100k A 1A1Y100 100k = 0A A 练习练习 写出图中所示各个门电路输出端的逻辑表达式。写出图中所示各个门电路输出端的逻辑表达式。TTLCMOS=1A1Y100 100k A =1A1Y100 100k A A A &A1Y悬空悬空&A1Y悬空悬空 不允许不允许A 组合逻辑电路是由各种门电路组成的组合逻辑电路是由各种门电路组成的没有记忆功没有记忆功能能的电路。它的特点是任一时刻的输出信号只取决于的电路。它的特点是任一时刻的输出信号只取决于该时刻的输入信号,而与电路原来所处的状态无关。该时

11、刻的输入信号,而与电路原来所处的状态无关。逻辑图逻辑图逻辑表达式逻辑表达式化简化简真值表真值表说明功能说明功能逻辑抽象逻辑抽象列真值表列真值表写表达式写表达式化简或变换化简或变换画逻辑图画逻辑图 练习练习 写出图中所示电路的逻辑表达式,说明其功能写出图中所示电路的逻辑表达式,说明其功能ABY1111 解解 1. 逐级写出输出逻辑表达式逐级写出输出逻辑表达式BA BAA BAB BABBAAY 2. 化简化简)(BABBAAY BAAB 3. 列真值表列真值表BA Y0 00 11 01 110014. 功能功能 输入信号相同时输入信号相同时输出为输出为1,否则为,否则为0 同或同或。1. 加法

12、器:加法器:实现两组多位二进制数相加的电路。实现两组多位二进制数相加的电路。根据进位方式不同,可分为串行进位加法根据进位方式不同,可分为串行进位加法器和超前进位加法器。器和超前进位加法器。2. 数值比较器:数值比较器: 比较两组多位二进制数大小的电路。比较两组多位二进制数大小的电路。集成芯片:集成芯片:74LS183(TTL)、)、C661(CMOS) 双全加器双全加器两片双全加器(如两片双全加器(如74LS183) 四位串行进位加法器四位串行进位加法器74283、74LS283(TTL)CC4008(CMOS) 四位二进制超前进位加法器四位二进制超前进位加法器集成芯片:集成芯片:7485、7

13、4L 85(TTL)CC14585、C663(CMOS) 四位数值比较器四位数值比较器3. 编码器:编码器:将输入的电平信号编成二进制代码的电路。将输入的电平信号编成二进制代码的电路。主要包括二进制编码器、二主要包括二进制编码器、二 十进制编码十进制编码器和优先编码器等。器和优先编码器等。4. 译码器:译码器:将输入的二进制代码译成相应的电平信号。将输入的二进制代码译成相应的电平信号。主要包括二进制译码器、二主要包括二进制译码器、二 十进制译码十进制译码器和显示译码器等。器和显示译码器等。集成芯片:集成芯片:74148、74LS148、74LS348(TTL) 8 线线 3 线优先编码器线优先

14、编码器74147、74LS147(TTL) 10 线线 4 线优先编码器线优先编码器集成芯片:集成芯片:74LS138(TTL) 3线线 8线译码器(二进制译码器)线译码器(二进制译码器)7442、74LS42(TTL) 4线线 10线译码器线译码器74247、74LS247(TTL) 共阳极显示译码器共阳极显示译码器7448、74248、7449、74249等(等(TTL) 共阴极显示译码器共阴极显示译码器5. 数据选择器:数据选择器:在地址码的控制下,在同一时间内从在地址码的控制下,在同一时间内从多路输入信号中选择相应的一路信号多路输入信号中选择相应的一路信号输出的电路。常用于数据传输中的

15、并输出的电路。常用于数据传输中的并-串转换。串转换。集成芯片:集成芯片:74151、74LS15174251、74LS251(TTL) 8 选选 1 数据选择器数据选择器6. 数据分配器:数据分配器:在地址码的控制下,将一路输入信号在地址码的控制下,将一路输入信号传送到多个输出端的任何一个输出端传送到多个输出端的任何一个输出端的电路。常用于数据传输中的串的电路。常用于数据传输中的串-并转并转换。换。集成芯片:集成芯片:无专用芯片,可用二进制集成译码器实现。无专用芯片,可用二进制集成译码器实现。 练习练习 用二用二 - 十进制编码器、译码器、发光二极管十进制编码器、译码器、发光二极管七段显示器,

16、组成一个七段显示器,组成一个 1 数码显示电路。当数码显示电路。当 0 9 十个十个输入端中某一个接地时,显示相应数码。选择合适的输入端中某一个接地时,显示相应数码。选择合适的器件,画出连线图。器件,画出连线图。YaA3A2A1A0+VCC74LS48显示显示译码器译码器YbYcYdYeYfYg共阴共阴 解解 1111+VCCY3Y2Y1Y074LS14710线线-4线线编码器编码器I0I1I9+VCCS0S1S91. 数据选择器:数据选择器:为多输入单输出的组合逻辑电路,为多输入单输出的组合逻辑电路,在输入数据都为在输入数据都为 1 时,它的输出表时,它的输出表达式为地址变量的全部最小项之和

17、,达式为地址变量的全部最小项之和,适用于实现单输出组合逻辑函数。适用于实现单输出组合逻辑函数。2. 二进制译码器:二进制译码器:输出端提供了输入变量的全部最输出端提供了输入变量的全部最小项,而且每一个输出端对应一小项,而且每一个输出端对应一个最小项,因此,二进制译码器个最小项,因此,二进制译码器辅以门电路(与非门)后,适合辅以门电路(与非门)后,适合用于实现单输出或多输出的组合用于实现单输出或多输出的组合逻辑函数。逻辑函数。1. 功能:功能:用于存放固定不变的数据,存储内容不能随用于存放固定不变的数据,存储内容不能随 意改写。工作时,只能根据地址码读出数据。意改写。工作时,只能根据地址码读出数

18、据。2. 特点:特点:工作可靠,断电后,数据不会丢失。工作可靠,断电后,数据不会丢失。3. 分类:分类:固定固定 ROM(掩模(掩模 ROM)和可编程)和可编程 ROM(PROM) 包括包括 EPROM(电写入紫外线擦除)和(电写入紫外线擦除)和 E2PROM(电写入电擦除)。(电写入电擦除)。PROM都要用专用的编程器对芯片进行编程。都要用专用的编程器对芯片进行编程。 当门电路的两个输入信号同时向相反方向变化时,输出端可能出现干扰脉冲。消除当门电路的两个输入信号同时向相反方向变化时,输出端可能出现干扰脉冲。消除方法:加封锁脉冲、加选通脉冲、接滤波电容、方法:加封锁脉冲、加选通脉冲、接滤波电容

19、、修改逻辑设计修改逻辑设计等。等。 一、触发器一、触发器1. 有两个稳定的状态有两个稳定的状态(0 状态和状态和 1 状态)。状态)。 2. 在外信号作用下,两个稳定状态可相互转换;没在外信号作用下,两个稳定状态可相互转换;没有外信号作用时,保持原状态不变。有外信号作用时,保持原状态不变。因此,触发器具有记忆功能,常用来保存二进制信息。因此,触发器具有记忆功能,常用来保存二进制信息。二、触发器的逻辑功能二、触发器的逻辑功能 指触发器输出的次态指触发器输出的次态 Qn+1 与输出的现态与输出的现态 Qn 及输入及输入信号之间的逻辑关系。触发器逻辑功能的描述方法主要信号之间的逻辑关系。触发器逻辑功

20、能的描述方法主要有有特性表、卡诺图、特性方程、状态转换图和波形图特性表、卡诺图、特性方程、状态转换图和波形图(时序图)。(时序图)。二、触发器的分类二、触发器的分类1. 根据电路结构不同,触发器可分为根据电路结构不同,触发器可分为(1)基本触发器:输入信号电平直接控制。)基本触发器:输入信号电平直接控制。特性方程特性方程0 RSnnQRSQ 1(2)同步触发器:时钟电平直接控制。)同步触发器:时钟电平直接控制。特性方程特性方程0 RSnnQRSQ 1同步同步 RS 触发器触发器CP = 1(或(或 0)时有效)时有效DQn 1同步同步 D 触发器触发器(约束条件)(约束条件)1. 根据根据电路

21、结构电路结构不同,触发器可分为不同,触发器可分为(3)主从触发器:主从控制脉冲触发。)主从触发器:主从控制脉冲触发。CP 下降沿(或上升沿)到来时有效下降沿(或上升沿)到来时有效特性方程特性方程0 RSnnQRSQ 1主从主从 RS 触发器触发器nnnQKQJQ 1主从主从 JK 触发器触发器(4)边沿触发器:时钟边沿控制。)边沿触发器:时钟边沿控制。CP上升沿(或下降沿)时刻有效上升沿(或下降沿)时刻有效特性方程特性方程边沿边沿 D 触发器触发器nnnQKQJQ 1边沿边沿 JK 触发器触发器DQn 12. 根据根据逻辑功能逻辑功能不同,时钟触发器可分为不同,时钟触发器可分为(1)RS 触发

22、器触发器0 RSnnQRSQ 1(约束条件)(约束条件)nnnQKQJQ 1(3)D 触发器触发器DQn 1(4)T 触发器触发器nnnQTQTQ 1(5)T 触发器触发器nnQQ 1 利用特性方程可实现不同功能触发器间逻辑功能的相利用特性方程可实现不同功能触发器间逻辑功能的相互转换。互转换。(2)JK 触发器触发器 练习练习 在图中所示的在图中所示的 CC4013 边沿边沿 D 触发器中,触发器中,CP、D、SD、RD的波形见图,试画出的波形见图,试画出 Q、Q 的波形。的波形。解解QQCPC11D S R SD、RD 异步置位(置异步置位(置1)、复位(置)、复位(置0)端。)端。CP 上

23、升沿触发。上升沿触发。CPDSDRDQQ一、时序逻辑电路的特点一、时序逻辑电路的特点数字数字电路电路逻辑逻辑功能功能组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路(基本构成单元(基本构成单元 门电路)门电路)(基本构成单元(基本构成单元 触发器)触发器)任何时刻电路的输出,不仅和该时刻的输入任何时刻电路的输出,不仅和该时刻的输入信号有关,而且还取决于电路原来的状态。信号有关,而且还取决于电路原来的状态。1. 逻辑功能:逻辑功能:2. 电路组成:电路组成:与时间因素与时间因素( CP )有关;有关;含有记忆性的元件含有记忆性的元件( 触发器触发器 )。二、时序电路逻辑功能的表示方法二、时序电路

24、逻辑功能的表示方法逻辑图、逻辑表达式、状态表、卡诺图、逻辑图、逻辑表达式、状态表、卡诺图、状态转换图(简称状态图)和时序图状态转换图(简称状态图)和时序图三、时序电路的基本分析方法三、时序电路的基本分析方法实质:实质: 逻辑图逻辑图状态图状态图关键:关键: 求出状态方程,列出状态表,根据状态表画求出状态方程,列出状态表,根据状态表画出状态图和时序图,由此可分析出时序逻辑出状态图和时序图,由此可分析出时序逻辑电路的功能。电路的功能。四、时序电路的基本分设计方法四、时序电路的基本分设计方法实质:实质: 状态图状态图逻辑图逻辑图关键:关键: 根据设计要求求出最简状态表(图),再通根据设计要求求出最简

25、状态表(图),再通过卡诺图求出状态方程和驱动方程,由此画过卡诺图求出状态方程和驱动方程,由此画出逻辑图。出逻辑图。五、计数器五、计数器1. 按计数进制分:按计数进制分:二进制计数器、十进制计数器和二进制计数器、十进制计数器和任意进制计数器任意进制计数器2. 按计数增减分:按计数增减分:加法计数器、减法计数器和可逆(加加法计数器、减法计数器和可逆(加/减)计数器减)计数器3. 按触发器翻转是否同步分:按触发器翻转是否同步分:同步计数器和异步计数器同步计数器和异步计数器 记录输入脉冲记录输入脉冲 CP 个数的电路,是极具典型性和代个数的电路,是极具典型性和代表性的表性的时序逻辑电路时序逻辑电路。六

26、、中规模集成计数器六、中规模集成计数器 功能完善、使用方便灵活,能很方便地构成功能完善、使用方便灵活,能很方便地构成 N 进制进制(任意)(任意)计数器计数器。主要方法有两种:。主要方法有两种:1. 用用同步同步置置 0 端或置数端归零获得端或置数端归零获得 N 进制计数器进制计数器根据根据 N - - 1 对应的二进制代码写反馈归零函数。对应的二进制代码写反馈归零函数。2. 用用异步异步置置 0 端或置数端归零获得端或置数端归零获得 N 进制计数器进制计数器根据根据 N 对应的二进制代码写反馈归零函数。对应的二进制代码写反馈归零函数。 当需要扩大计数器的容量时,可将多片集成计数器进当需要扩大

27、计数器的容量时,可将多片集成计数器进行级联。如行级联。如两片两片16 进制集成计数器进制集成计数器16 16 进制计数器进制计数器两片两片10 进制集成计数器进制集成计数器10 10 进制计数器进制计数器七、其它时序逻辑电路七、其它时序逻辑电路1. 寄存器和移位寄存器寄存器和移位寄存器寄存器寄存器 存储二进制数据或者代码。存储二进制数据或者代码。移位寄存器移位寄存器 不但可存放数码,还能对数据进行移不但可存放数码,还能对数据进行移 位操作。位操作。移位寄存器移位寄存器有单向移位寄存器和双向移位寄存器。有单向移位寄存器和双向移位寄存器。 用移位寄存器可方便地组成用移位寄存器可方便地组成环形计数器

28、环形计数器、扭环形计扭环形计数器数器和和顺序脉冲发生器顺序脉冲发生器。集成移位寄存器集成移位寄存器使用方便、功能全、输入输出方式使用方便、功能全、输入输出方式 灵活。灵活。2. 读读/写存储器写存储器 RAM(随机存取存储器)(随机存取存储器)组成组成 :主要由地址译码器、读主要由地址译码器、读/写控制电路和存储矩写控制电路和存储矩 阵三部分组成。阵三部分组成。功能功能 :可以随时读出数据或改写存储的数据,并且可以随时读出数据或改写存储的数据,并且 读、写数据的速度很快。读、写数据的速度很快。种类种类 :分为静态分为静态 RAM 和动态和动态 RAM 。应用应用 :多用于经常更换数据的场合,最

29、典型的应用多用于经常更换数据的场合,最典型的应用 就是计算机中的内存。就是计算机中的内存。 3. 顺序脉冲发生器、三态逻辑与微机总线接口、可顺序脉冲发生器、三态逻辑与微机总线接口、可编程逻辑器件编程逻辑器件等也都是比较典型、应用很广的时序电路。等也都是比较典型、应用很广的时序电路。特点:特点:断电后,数据将全部丢失。断电后,数据将全部丢失。一、555 定时器 是一种多用途的集成电路。只需外接少量阻容元件便可构成各种脉冲产生、整形电路,如施密特触发器、单稳态触发器和多谐振荡器等。12348765GNDTROUTDRCOTHCCVDIS双极型 (TTL)电源: 4.5 16 V单极型 (CMOS)

30、电源: 3 18 V带负载能力强62784153555R1C+R2C1+VCCuO二、多谐振荡器 是一种自激振荡电路,不需要外加输入信号,就可以自动地产生出矩形脉冲。 多谐振荡器没有稳定状态,只有两个暂稳态。暂稳态间的相互转换完全靠电路本身电容的充电和放电自动完成。 改变 R、C 定时元件数值的大小,可调节振荡频率。 在振荡频率稳定度要求很高的情况下,可采用石英晶体振荡器。CRRTf)(2127 . 011 三、施密特触发器 是一种脉冲整形电路,虽然不能自动产生矩形脉冲,却可将输入的周期性信号整形成所要求的同周期的矩形脉冲输出,还可用来进行幅度鉴别、构成单稳态触发器和多谐振荡器等。627841

31、53555+VCCuO2uIUCOuO1+VDDR 施密特触发器有两个稳定状态,有两个不同的触发电平,因此具有回差特性。它的两个稳定状态是靠两个不同的电平来维持的,输出脉冲的宽度由输入信号的波形决定。此外,调节回差电压的大小,也可改变输出脉冲的宽度。外接电压调节回差 施密特触发器可由 555 定时器构成,也可用专门的集成电路实现。四、单稳态触发器 也属于脉冲整形电路,可将输入的触发脉冲变换为宽度和幅度都符合要求的矩形脉冲,还常用于脉冲的定时、整形、展宽(延时)等。62784153555RC+C1+VCCuO0.01FuI 单稳态触发器有一个稳定状态和一个暂稳态。其输出脉冲的宽度只取决于电路本身

32、 R、C 定时元件的数值,与输入信号无关。输入信号只起到触发电路进入暂稳态的作用。 改变 R、C 定时元件的数值可调节输出脉冲的宽度。RCRCt1 . 13lnW 单稳态触发器可由 555 定时器构成,也可用集成的单稳态触发器实现。一、D/A 转换器1. 功能:将输入的二进制数转换成与之成正比的模拟电量。2. 种类:权电阻网络、R - 2R T 形电阻网络和 R - 2R 倒 T 形电阻网络 D/A 转换器。实现数模转换有多种方式,常用的是电阻网络 D/A 转换器,包括 其中以 R - 2R 倒 T 形电阻网络 D/A 转换器为重点作了详细介绍,它的特点是速度快、性能好,适合于集成工艺制造,因

33、而被广泛采用。3. 分辨率和转换精度: 与 D/A 转换器的位数有关,位数越多,分辨率和精度越高。二、A/D 转换器1. 功 能:将输入的模拟电压转换成与之成正比的二进制数。2. 转换过程:采样、保持、量化、编码。采样 保持电路A / D转换器采样 - 保持电路:对输入模拟信号抽取样值,并展宽(保持)。采样时必须满足采样定理,即 fs 2 fImax 。量化 对样值脉冲进行分级。编码 将分级后的信号转换成二进制代码。A / D 转换器:二、A/D 转换器3. 种类:直接转换型和间接转换型。直接转换型 并联比较型(速度快、精度低) 逐次渐近型(速度较快、精度较高)间接转换型 双积分型(速度慢、精

34、度高、抗干扰 能力强) 不论是 D/A 转换还是 A/D 转换,基准电压 VREF 都是一个很重要的应用参数,要理解基准电压的作用,尤其是在 A/D 转换中,它的值对量化误差、分辨率都有影响。Astable Multivibrator6.1.1 555 定时器构成的多谐振荡器+VCCuOQ&1TDR1R2CuCCC32VCC31V uCtUOH uOtUOL一、电路组成和工作原理 6278 4153555R1C1+R2C2+VCC二、振荡频率的估算和占空比可调电路62784153555R1C+R2C2+VCC1. C 充电时间 tw1uC(0+) = VCC / 3,uC() = VC

35、C)()()0()(ln1W1W1tuuuutCCCC- - - 2lnln1CC32CCCC31CC1 - - - VVVVCRRt)(21W17 . 0 充电时间常数1= (R1+R2)C2. C 放电时间 tw22ln2W2 t可求得:CRt2W27 . 0 放电时间常数2 = R2C(一) 振荡频率的估算3. 振荡频率 f uCCC32VCC31VtUOH uOtUOLtw1tw2Ttw1= 0.7 (R1+R2) Ctw2 = 0.7R2CT = 0.7(R1+2R2)C振荡周期:振荡频率:CRRCRRTf)()(2121243. 127 . 011 占空比:CRRCRRTtq)()

36、(2121W127 . 07 . 0 002121502 RRRR(二) 占空比可调电路62784153555R1C+R2C2+VCCD1D2uOtw1= 0.7R1Ctw2 = 0.7R2CCRRCRTtq)211W17 . 07 . 0(7 . 0 211RRR 6.1.2 石英晶体多谐振荡器特点:频率稳定,精度高。1. 符号和选频特性符号当 f = f0 时,电抗 X = 0fXf0电感性电容性2. 石英晶体多谐振荡器11C1R1R2C2uo工作原理:1. 反相器静态工作在转折区(放大);2. 石英晶体 X = 0, 回路构成正反馈;3. C1 、 C2 为耦合电容, 可不要。电阻取值范

37、围:TTL反相器:R1= R2 = 0.7 2 kCMOS反相器:R1= R2 =10 100 M3. CMOS 石英晶体多谐振荡器放大器电容三点式为保证 CMOS 反相器静态时工作在转折区,偏置电阻RF 取值为 :RF =10 100 MC1RFC2uo11100 M20 pF5 50 pF f06.1.3 多谐振荡器应用举例一、秒信号发生器RC1C211C1C1C1C1FF0FF1FF14FF15Q0Q1Q14Q15T触发器32768 Hz16384 Hz1 Hz2 Hz二、模拟声响电路10F26784153NE555ICICR1C1B21+26784153NE55510k100k10k1

38、50k10k8R2R3R4R5C2C3C4+5V0.01 F0.01 F100 FuO1uO2uo1uo2(Schmitt Trigger)一、普通反相器和施密特反相器的比较AY1普通反相器uAUTHuYTTL:1.4 VCMOS:DD21V施密特反相器AYuAUT+UT上限阈值电压下限阈值电压uY回差电压:- - - - TTTUUU二、电路组成及工作原理+VCCuO1TDQ&1uI工作原理CC32VCC31V uItUOH uOtUOLCCVOO外加 时,可改变阈值和回差电压+VDDuO2uI 上升时与 2VCC/3 比uI 下降时与 VCC/3 比三、滞回特性及主要参数(一) 滞

39、回特性OuIuOUOHUOLCC31VCC32VuI 增大时与上限阈值比特点:uI 减小时与下限阈值比上限阈值电压(二) 主要静态参数回差电压COCCT32UVU或或 下限阈值电压COCCT2131UVU或或 - -回差电压UT = UT+ UT一、CMOS 集成施密特触发器(一) 引出端功能图CC401061A1Y2A2Y3A3Y6A6Y5A5Y4A4YVDDVSS1234567141312111098CC40933A3B3Y4Y4A4BVDDVSS12345671413121110 9 81A1Y1B2A2Y2B(二) 主要静态参数CC40106、CC4093 的主要静态参数电参数电参数名

40、称名称符号符号测试条件测试条件参参 数数单位单位VDD最小值最小值最大值最大值上限阈上限阈值电压值电压UT+510152.24.66.83.67.110.8V下限阈下限阈值电压值电压UT510150.92.54 2.85.27.4V滞回滞回电压电压 UT510150.31.21.61.63.45V二、TTL 集成施密特触发器(略)施密特触发器的应用举例一、接口与整形(一) 接口MOS或CMOS1把缓变输入信号转换为TTL系统要求的脉冲正弦波振荡器1(二) 整形输入输出UT+UT二、阈值探测、脉冲展宽和多谐振荡器(一) 阈值探测输入UTUT+输出(二) 脉冲展宽CAuOuIuA集电极开路输出uI

41、uAuOUT+UT(三) 多谐振荡器CuO1RUOHUT+UOLUT+UOH UT特点:1. 只有两种状态: 稳态和暂稳态;2. 外来触发 (窄) 脉冲使: 稳态暂稳态稳态;3. 暂稳态持续时间仅取决于电路参数, 与触发脉冲无关。用途;定时:产生一定宽度的方波。延时:将输入信号延迟一定时间后输出。整形:把不规则波形变为宽度、幅度都相等的脉冲。单稳态触发器一、电路组成及工作原理+VCCuOQ&1TDQRCuIuCuI 与 VCC/3 比较uC 与 2VCC/3 比较TD 饱和, Q = 0110101饱和: TD 截止, Q = 1110截止引起暂稳态的原因:1. 通电的随机过程;2.

42、uI 从 1 0使 u2 1 &QQTRATRBTR+RintCextRICXRX/CXRext / Cext 表示不属于逻辑状态连接下降沿触发输入上升沿触发输入非重复触发外接定时电阻、电容VCC内接接定时电阻引出端)(BA- - - TRTRTRTR2. 功能表输输 入入输输 出出注注TRA TRB TR+Q Q L H L H L H H L H L H L H L H保持保持稳态稳态 H H H H H下降沿下降沿触发触发 L L 上升沿上升沿触发触发)(BA- - - TRTRTRTR3. 主要参数RCRCt7 . 0 2lnW 输出脉宽 tw:输入触发脉冲最小周期 Tmin

43、:reWminttT 周期性输入触发脉冲占空比 q:reWWminWtttTtq 二、可重复触发单稳态触发器 74122可重复触发 在暂稳态期间, 能够接受新的触发信号。1. 图形符号1QQTRATRBTR+ARintCextRICXRX/CXRext / Cext&RTR+BRD直接复位)(BABA- - - TRTRTRTRTR可重复触发2. 功能表输输 入入输输 出出注注RD TRA TRB TR+A TR+BQ Q L H H L L L H L H L H L H复位复位保持保持稳态稳态 H L H H L H H L H L H L H H L H H上升沿上升沿触发触发

44、H H H H H H H H H H H下降沿下降沿触发触发)(BABA- - - TRTRTRTRTR当定时电容C 1000 pF时:RCt32. 0W 一、延时与定时1. 延时1uIuO&uOuFuIuOtW2. 定时选通uFuO二、整形uIuO一、数/模和模/数器是模拟、数字系统间的桥梁模 / 数(A / D)转换:Analog to Digital Converter (ADC)数 / 模()转换:Digital to Analog Converter (DAC)数字计算机模拟系统A/DD/A二进制二进制线性线性存储分析控制物理 生物 化学二、 常见数模、模数转换器应用系统举

45、例压力传感器温度传感器流量传感器四路模拟开关数字控制计算机DAC模拟控制器模拟控制器液位传感器DACDAC模拟控制器模拟控制器生 产 控 制 对 象DACADC三、A / D、D / A 转换器的精度和速度精度保证转换的准确性速度保证适时控制7.1.1 D / A转换的基本要求1. D / A转换思路d0d1dn-1uO或iOn 位二进制如 (1101)213148212121023 - - 10210niiidN可利用运算放大器实现运算2. 转换特性DuO/V7654321001 010 011 100 101 110 111一、输入、输出关系框图二、D/A 转换的电路组成RRR2R2R2R

46、2RUREFS0S1S2d0d0d1d2d1d2电子开关电阻网络求和运放当 d2d1d0 = 100,II / 2I / 4I / 8I/2I = UREF / RRIu2O- - RRU2REF- - 23REF212 - - UuO三、工作原理当 d2d1d0 = 110,IRRR2R2R2R2RUREFuOI / 2I / 4I / 8RIIu)42(O - - )2121(2123REF - - URRURU)42(REFREF - - 当 d2d1d0 = 111,IRRR2R2R2R2RUREFuOI / 2I / 4I / 8RIIIu)842(O - - )212121(201

47、23REF - - URRURURU)842(REFREFREF - - 表达的一般形式)222(20011223REFO - - dddUu三、输入为 n 位二进制数时的表达式当 D = dn-1 dn-2 d1 d0 )22.2(2001111REFO - - - - -dddUunnnDKDUun - - uREFO2Ku 转换比例系数nUK2REFu- - 7.1.2 DAC 的转换精度、速度和主要参数一、转换精度指 D/A 转换器模拟输出产生的最小电压变化量与满刻度输出电压之比,也可用输入的位数表示。为实际输出模拟电压与理想输出模拟电压间的最大误差。 ULSBUFSR=12n1分辨率

48、=LSB Least Significant Bit(二)转换误差可用占输出电压满刻度值的百分数表示或可用最低有效位(LSB)的倍数表示。如: (LSB)= 输入为 0001 时输出模拟电压的一半。(一)分辨率(Resolution)FSR Full Scale Range二、转换速度(一)建立时间 ts ts 为在大信号工作下(输入由全 0 变为全 1,或由全 1 变为全 0), 输出 电压达到某一规定值所需时间 。不包含 UREF 和运放的单片 DAC 最短 ts 0.1 s;包含UREF 和运放的单片 DAC 最短 t s 0,uO 0 3. 分辨率单极性输出:分辨率121- - n分辨率 =1211- - -n5G7520 为 10 位 D / A 转换器,分辨率 =000978. 01023112110 - -当 UREF = 10 V时,最小输出电压 uO = 9.76 mV双极性输出:对于 5G7520分辨率=00196. 051111219 - -当 UREF = 10 V 时,最小输出电压 uO = 19.6 mVuI(t)CADC的量化编码电路dn-1d1d0uI(t)S模拟量数字量量化编码取样:把时间连续变化的信号变换为时间离散的信号。保持:保持取样信号,使有充分时间将其变为数字信号。取样保持(S / H Sample / Hold)当满

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论