版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、可编程逻辑器件课程考核试题一、简答题(25分,共5小题,每小题5分)1. 试述EDA软件系统包含那些模块。答:设计输入子模块,设计数据库子模块,分析验证子模块,综合仿真子模块,布局布线子模块等。(每条1)2. 简述PROCESS语句结构的三部分构成,并说明进程语句、顺序语句和信号之间的关系。答:PROCESS语句结构是由三部分构成,即进程说明部分,顺序描述语句部分和敏感信号参数表。(2)各个进程是并行运行的,无先后之分,必须放在结构体中;顺序语句是按顺序运行的,有先后之分,必须放在进程中;信号放在结构体和进程之间,是用以完成各个进程之间数据交换。 (3)3. 简述WITH_SELECT_WHE
2、N选择信号赋值语句和CASE_WHEN顺序语句的异同。答:WHEN_ELSE条件信号赋值语句中无标点,只有最后有分号;必须成对出现;是并行语句,必须放在结构体中。 (3)IF_ELSE顺序语句中有分号;是顺序语句,必须放在进程中。 (2)4. 简述信号和变量的区别?答:信号变量赋值符号<=:= 功能电路的内部连接内部数据交换作用范围全局,进程和进程之间的通信进程的内部行为延迟一定时间后才赋值立即赋值5. 简述的MUX+PLUS的设计流程。(每条1)答:设计输入:图形输入法、波形输入法、文本编辑法等。设计检查:在设计编译之前运行编译器网表提取器模块检查文件的错误,更新层次结构的显示,给出错
3、误和警告的信息,以便返回设计输入部分,进行修正。设计编译:提供一个集成编译器,在编译器运行前可进行两种仿真功能的选择。时序/功能仿真:时序仿真是在考虑了设计项目的具体适配器的各种延时时间的情况下的设计项目的验证方法。定时分析:用来分析器件引脚及内部节点间的传输路径延时;时序逻辑的性能及器件内部各种寄存器的建立保持时间。二、阅读分析题(30分,共2小题,第1题20分,第2题10分)1. 1)解释带有下划线的语句。(每条2)答: 定义元件库 输入信号s是两位的输入总线 将输入信号en和s连接赋值给f 敏感信号f的变化将启动进程 process 其他情况,将输入信号d赋值给op2). 用WITH_S
4、ELECT_WHEN语句编写上述程序:答:Library ieee; Use ieee.std_logic_1164.all;entity qk_11 isport( a,b,c,d,en:in std_logic; s:in std_logic_vector(1 downto 0); op:out std_logic );end qk_11; (3)architecture ar_1 of qk_11 is signal f:std_logic_vector(2 downto 0);beginf<=en&s; (2) with f select op<=a when &q
5、uot;100", b when "101", c when "110", d when others; end ar_1; (5)2、已知三选一电路如图,判断下列程序是否有错误,如有则指出错误所在,并给出完整程序。(10分)library ieee;use ieee.std_logic_1164.all;ENTITY MAX isport(a1,a2,a3,s0,s1:in bit; outy:out bit);end max; (2)architecture one of max iscomponent mux21a port(a,b,s:
6、in std_logic; y:out std_logic);end component; (2)signal temp std_logic; (2)begin u1:mux21a port map(a2,a3,s0,temp); (2) u2:mux21a port map(a1,temp,s1,outy); (2) end one;三、程序设计(共45分,第1题10分,第二题15分,第3题20分)(注:程序设计题答案不唯一,以下仅为一种参考答案。)1. 已知电路原理图如下,请用VHDL语言编写其程序答:library ieee;use ieee.std_logic_1164.all;ent
7、ity mux21 is port(a,b,s:in bit; y:out bit);end mux21; (4)architecture one of mux21 is single d,e:bit;begin d<=a and (not)s; e<=b and s; y<=d or e;end one; (6)2. 设计一个带有异步清零功能的十进制计数器。计数器时钟clk上升沿有效、清零端CLRN、进位输出co。 答:library ieee;use ieee.std_logic_1164.all;entity counter10 isport(clk,CLRN:in s
8、td_logic; dout:out integer range 0 to 9);end counter10; (5)architecture behav of counter10 ISbeginprocess(clk)variable cnt:integer range 0 to 9; (3)beginIF CLRN='0' THENCNT:=0;ELSIF clk='1'and clk'event thenif cnt=9 thencnt:=0;elsecnt:=cnt+1;end if;end if;dout<=cnt;end process
9、;end behav; (7)31)用VHDL语言编写半加器和或门器件的程序,如图所示: 答 :半加器程序:library ieee;use ieee.std_logic_1164.all;entity h_adder isport(a,b:in std_logic; co,so:out std_logic);end h_adder; (2)architecture one of h_adder isbegin so<=not(a xor(not b); co<=a and b;end one; (3)或门程序:library ieee;use ieee.std_logic_116
10、4.all;entity or2a is port(a,b:in std_logic; c:out std_logic);end or2a; (2)architecture one of or2a isbeginc<=a or b;end one; (3)2)在上道题目的基础上用元件例化语句设计1位全加器。主程序:library ieee;use ieee.std_logic_1164.all;entity f_adder is port(ain,bin,cin:in std_logic; cout,sum:out std_logic);end entity f_adder;architecture fd1 of f_adder is component h_adder port(a,b:in std_logic; co,so:out std_logic); end component; (5) component or2a port(a,b:in std_logic; c:out std_logic); end component; signal d,e,f:std_logic; beg
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025至2031年中国螺旋藻胶囊行业投资前景及策略咨询研究报告
- 2025年度跨国广告制作及发布合同3篇
- 2025年度诉讼离婚与协议离婚风险评估及解决方案合同3篇
- 2025-2030年中国饮料包装机械行业运营现状分析及发展规划研究报告
- 2025-2030年中国防静电包装材料行业运行状况及投资发展前景预测报告
- 个人汽车出租给公司的新合同(2024年)
- 2025-2030年中国铸造生铁市场发展趋势及前景调研分析报告
- 2025-2030年中国铝型材防火门窗产业需求状况及发展前景分析报告
- 2025-2030年中国钢铁企业物流系统市场十三五发展规划及投资风险评估报告
- 2025-2030年中国金属镍产业前景规模及发展趋势分析报告
- 幼儿园反恐防暴技能培训内容
- 食品企业质检员聘用合同
- 中医诊所内外部审计制度
- 自然辩证法学习通超星期末考试答案章节答案2024年
- 2024年国家危险化学品经营单位安全生产考试题库(含答案)
- 护理员技能培训课件
- 家庭年度盘点模板
- 河南省郑州市2023-2024学年高二上学期期末考试 数学 含答案
- 2024年资格考试-WSET二级认证考试近5年真题集锦(频考类试题)带答案
- 试卷中国电子学会青少年软件编程等级考试标准python三级练习
- 公益慈善机构数字化转型行业三年发展洞察报告
评论
0/150
提交评论