基于VHDL的直流电机调速系统_第1页
基于VHDL的直流电机调速系统_第2页
基于VHDL的直流电机调速系统_第3页
基于VHDL的直流电机调速系统_第4页
基于VHDL的直流电机调速系统_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 北华大学电子系统综合实习报告 直流电机数字调速系统设计学 院: 电气信息工程学院专 业: 通信工程班 级: 通信14-2 姓 名: 秦帝 学 号: 20指导教师: 董 胜 山传文 实习日期: 2015.9.59.16目 录目录一.实习题目 3二.实习目的 3三.实习技术要求 1、 VHDL简介 32 、QuartusII简介 4四.实现原理 4五.模块设计1、8进制计器 52、18进制计数器 63、 字显示控制模块74、 直流电机驱动原理六.仿真 15七.参考文献19八.教师评语20一、实习题目:直流电机数字调速系统设计要求:(1)实现直流电机的数字调速系统整体设计。 (2)流电机的选型及驱

2、动电路设计、光电编码器接口设计。(3)编写软件实现直流电机的数字调速PID控制功能。二、实习目的:1)硬件实训目的:1熟悉EDA实验箱的基本工作原理。2熟悉并掌握EDA实验箱各个模式的功能。3提高学生的动手能力。2)软件实训目的:1 熟悉并掌握quartus软件的使用。2 熟练的使用原理图输入设计方法,VHDL语言编写程序,进一步了解和掌握各个程序语言,提高编程的熟练程度。3 掌握基本器件设计的方法思想,如计数器;掌握点阵LED显示字的基本原理。4 拓宽学生知识面,增强工程意识,培养学生的分析和解决实际问题的能力。5 提高学生的动手能历。三、实习技术与要求:1 、 VHDL简介介于目前,电子系

3、统正向集成化、大规模和高速度的方向发展,传统的门级描述方法显得过于琐碎,难以掌控。VHDL(Very High Speed Integrated Circuit Hardware Description Language)是美国国防部与1983年发起创建,并在1987年作为“IEEE标准1067”发布,它是一种全方位的硬件描述语言通常适用于系统行为级和寄存器传输级(RTL)的描述,整个自顶向下或自底向上的电路计。过程都可以用VHDL来完成。它允许设计者用它来进行数字逻辑系统的仿真验证、时序分析、逻辑综合,它是目前应用最为广泛

4、的一种硬件描述语言。使用VHDL进行自顶向下的设计,即为使用VHDL模型在所有的综合级别上对硬件设计进行说明、建模以及仿真测试。此外,VHDL还有如下优点:由于其宽泛的描述能力,VHDL成为高层设计的核心,设计人员只需花较少的精力在物理实现上而把工作重心转移到系统功能的实现和调试上;VHDL是一种标准语言,其可移植性好,而且其设计不依赖特定的器件,工艺转换方便;VHDL代码简洁明确,使用起来灵活方便且易于结果的交流、保留和重用。由于能够进行编程、除错、再编程和重复操作,可以充分地进行设计开发和验证,以便适用于不同情形的需要,延长了其产品再市场地的寿命。2、 QuartusII简介本次设计是基于

5、Altera公司的QuartusII软件。Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII支持Altera的IP核,包含了LPM/MegaFuction宏功能模块库,使用它,可以简化设计的复杂性,加快设计速度。QuartusII平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。此外,QuartusII通过和

6、DSP Builder工具与Matlab/SIMULINK相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统开发,集系统级设计、嵌入式软件设计、可编程逻辑设计于一体,是一种综性的开发平台。四、实验原理:一台直流电机原则上既可以作为电动机运行,也可以作为发电机运行,这种原理在电机理论中称为可逆转原理。当原动机驱动电枢绕组在主磁极N、S之间旋转时,电枢绕组上感生出电动势,经电刷、换向器装置整流为直流后,引向外部负载(或电网),对外供电,此时电机做直流发电机运行。如用外部直流电源,经电刷换向器装置将直流电流引向电枢绕组,则此电流与主磁极N、S产生的磁场互相作用,产生转矩,驱动

7、转子与连接于其上的机械负载工作,此时电机做直流电动机运行。直流电机控制电路主要由三部分组成:FPGA中PWM脉宽调制信号产生电路;工作/停止控制和正/反转方向控制电路;片外功率放大电路和H桥正/反转功率驱动电路。在CLK0的作用下,锯齿波计数器输出周期性线性增加的锯齿波,当计数值小于设定值时,数字比较器输出低电平,当计数值大于设定值时,数字比较器输出高电平,由此产生周期性的PWM波形。分频模块和计数模块共同控制输出频率。旋转方向控制电路控制直流电动机转向及启动/停止,该电路由两个2选1选择器组成Z/F键控制选择PWM波形从正端Z进入H桥,还是从负端F进入H桥,以控制电机的转动方向。START键

8、通过“与”门控制PWM的输出实现对电机的工作/停止控制。H桥电路由大功率晶体管组成,PWM波形通过方向控制送到H桥,经功率放大以后驱动电机转动。五、模块设计 本小组设计的直流电机调速系统,由五个模块构成。底层模块分别为:分频器模块,标准计数器模块,加速器模块和比较器模块。最终经由顶层模块把底层模块连接成一个整体,共同构成直流电机调速系统。5.1 分频器模块该系统需要输出频率为10KHz,通过该分频模块将实验板上50MHZ的输出频率降低到实验要求。5.2 标准计数器模块该模块既是计数器模块,计数时最大计数值为1,又与分频模块共同控制输出频率。该模块的输入频率来自分频模块的100KHz,从而使直流

9、电机的输出频率等于10KHz。5.3 加速器程序设定值计数器设置PWM信号的占空比。当U/D=1,输入CLK2使设定值计数器的输出值增加,PWM占空比增加,电机转速加快。当U/D=0,输入CLK2,使设定值计数器的输出值减小,PWM占空比减小,电机转速变慢。5.4 比较器当计数值小于设定值时数字比较器输出低电平,当计数值大于设定值时,数字比较器输出高电平,由此产生PWM波形。5.5 顶层模块的设计 顶层模块是贯穿所有底层模块的连接器。运用顶层可以轻松实现层次化的设计。运用顶层设计首先要把元件例化。元件例化语句由两部分构成,前一部分是对一个现成的设计实体定义为一个元件,第二部分则是此元件与当前设

10、计实体中的相关端口的说明,语句格式如下:首先在结构体中声明元件:COMPONENT <元件名> GENERIC(类属参数说明); PORT(端口参数列表);END COMPONENT;<标号>: <元件名> GENERIC MAP(参数映射); PORT MAP(端口映射);以上两个部分语句在元件例化中都是必须存在的。第一部分语句是元件定义语句,相当于对一个现成的设计实体进行封装,使其只露出对外的接口界面。就像一个集成芯片之流出几个引脚在外一样,“类属表”可列出端口的数据类型和参数,“端口表”可列出对外通信的各端口名。元件例化的第二部分语句即为元件例化语句。

11、其中,“例化名”是必须存在的,它类似为标在当前系统中的一个插座名。“元件名”则是准备在此插座上插入的、已定义好的元件名。PORT MAP是端口映射的意思,其中的端口名是在元件定义语句中的端口名表中已定义好的元件端口的名字,连接端口名则是当前系统与准备接入的元件对应端口相连的通信端口,相当于插座上各插针的引脚名。元件例化时的端口列表可按位置关联方法,如u1,这种方法要求的实参(该设计中连接到端口的实际信号,如ci,等)所映射的形参(元件的对外接口信号)的位置同元件声明中的一样;元件例化时的端口列表也可按名称关联方法映射实参与形参,如u2。格式为(形参1=>实参1,形参2=>实参2,&

12、#183;··)。这种方法与位置无关。参数化元件可增加元件例化的灵活性。所谓参数化元件是指元件的规模(或特性)可以通过引用参数的形式指定的一类元件。关联法要求信号的位置十分重要,不能放错;而且,一旦位置关联例化语句确定后,连接元件的源文件中的端口表内的信号排列位置就不能再变动,因此,一般不推荐使用此类关联标书来编程。顶层设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity top isport (keyin,min,clkin: in std_logic;pwm

13、 :out std_logic);end entity top;architecture fd1 of top iscomponemt jishuqi /调用常数发生器port(key,m:in std_logic;qw:out std_logic_vector(4 downto 0);end componemt;componemt cntb /调用锯齿波发生器port(clkw: in std_logic; q:out std_logic_vector(4 downto 0);end componemt;componemt fenpin /调用分频器port(clk:in std_logic

14、;clock:out std_logic);end componemt;componemt bijiaoqi /调用比较器port(dataa,datab:in std_logic_vector(4 downto 0);agb:out std_logic);end componemt;signal net1 : std_logic;signal net2,net3 : std_logic_vector(4 downto 0);beginu1 : jishuqi port map (key=>keyin,m=>min,qw=>net2);u2 : fenpin port map

15、 (clk=>clkin,clock=>net1);u3 : cntb port map (clkw=>net1,q=>net3);u4 : bijiaoqi port map (dataa=>net2,datab=>net3,agb=>pwm);end architecture fd1;5.6直流电机驱动原理:主要采用L298N,通过单片机的I/O输入改变芯片控制端的电平,即可以对电机进行正反转,停止的操作,输入引脚与输出引脚的逻辑关系图为驱动原理图 实物图模块接口说明+5V:芯片电压5V。 VCC:电机电压,最大可接50V。GND:共地接法。A-D

16、-:输出端,接电机。AD+ :为步进电机公共端,模块上接了VCC。EN1、EN2:高电平有效,EN1、EN2分别为 IN1和IN2、IN3和IN4的使能端。IN1 IN4:输入端,输入端电平和输出端电平是对应6、基于标准计数器的仿真 基于加速器的仿真基于比较器的仿真1:2:基于分频模块的仿真顶层文件的仿真 顶层设计留有四个端口,分别为clkin:时钟信号输入端,keyin:按键信号输入端,min:方向信号输入端,PWM:信号输出端。可以看出,在时钟信号下,当min为高,keyin设定为按键按下的次数,可以看出,pwm输出端产生了一个标准的pwm波形。七、 实习心得EDA实习就这样结束了,通过E

17、DA的几次实习,为我大体上了解了Quartus的用法以及实验箱的用法,还学会了简单的编程。相比于传统的数字电路设计(基于原理图,采用试凑设计法对数字系统进行设计),现代系统设计则基于PLD硬件和EDA工具的支持,通过对芯片的设计完成功能。它采用Top-down 设计方法,采用逐级仿真技术,以便早发现问题,修改方案,适合多人多任务的并行工作。EDA实习,让我对于这一方面产生了浓厚的兴趣,通过自己编程,就可以设计自己的芯片,这使得我在学习之余,体会到学习的乐趣,有成就感。实习过程中,使我发现发现了自己的不足,及时的与老师同学沟通,弥补了知识上的缺陷,了解了更多。同时,我明白了很多的知识点在不同的学科里都会重复出现,或者具有通性,在学习知识的时候,应该注

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论