利用51单片机设计速度里程表_第1页
利用51单片机设计速度里程表_第2页
利用51单片机设计速度里程表_第3页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.沈阳航空航天大学电子信息工程学院电子设计应用软件训练总结报告学生姓名:专 业:班 级:学 号:指导教师:训练时间:2012年 7月16日至 2012年7月20日电子信息工程学院电子设计应用软件训练任务【训练任务】:1、熟练掌握PROTEUS软件的使用;2、按照设计要求绘制电路原理图; 3、能够按要求对所设计的电路进行仿真。【基本要求及说明】:1、按照设计要求自行定义电路图纸尺寸;2、设计任务如下:设计一个简易速度里程表。要求:1)能够实时测量当前速度与走行里程(由脉冲信号代替车轮的转数传感器);2)通过按键可选择里程/速度显示切换。3、按照设计任务在Proteus中绘制电路原理图;4、根据设

2、计任务的要求编写程序,画出程序流程图,并在Proteus下进行仿真,实现相应功能。3、按照设计任务在Proteus 6 Professional中绘制电路原理图; 4、根据设计任务的要求编写程序,在Proteus下进行仿真,实现相应功能。【按照要求撰写总结报告】成绩评定表评语、建议或需要说明的问题:指导教师签字: 日期:成 绩. v.一、 任务说明本次课程设计任务为:本课程设计为设计一个简易速度里程表。要求它能实现实时测量当前速度与走行里程(由脉冲信号代替车轮的转数传感器);并且通过按键可选择里程/速度显示切换。按照设计任务在Proteus中绘制电路原理图;根据设计任务的要求编写程序,画出程序

3、流程图,并在Proteus下进行仿真。二、绘制原理图原理图绘制步骤:1.建立文件。进入ISIS系统,选择菜单栏中的“文件”“新建设计”新建一个文件,然后选择菜单栏中的“系统”“设置图纸大小”来设置电路图纸的尺寸为A4,如图1所示。然后将文件保存到预先建立的文件夹中。设计窗口便新建完毕,如图2所示。图1 图纸尺寸设定图2 新建的设计窗口2. 元件的查找及放置。首先点击工具箱左上角的“P”按钮,如图3。弹出“Pick Devices”操作界面,如图4。在关键字窗口输入所需器件名称,如80C51,系统会自动搜索到此元件,如图5所示。将所有元件搜索到以后按相应位置在图纸上放置好。本实验所需的元器件如表

4、1。图3 按钮“P”所在位置图4 “Pick Devices”操作界面图5 80C51元件搜索窗口3.布线及调整。将鼠标移至所要连接器件的管脚处,鼠标箭头会变成图6的样式,此时按住鼠标左键拖至对应器件管脚处,松开左键即把两器件连接。如果在连接器件时出现交叉或重叠,可以对连线或元件位置进行适当的调整。布线后电路图如图7所示。图6 可连线标示图7 电路原理图三、Proteus仿真说明3.1导入仿真文件原理图绘制好后,先右键点击元件80C51,再点击左键,自动弹出编译话框,如图8所示。选择保存好的后缀为“.HEX”的文件,导入单片机内。图8 单片机导入文件对话框3.2进行仿真单击左下角开始仿真按钮,

5、如图9所示,开始进行仿真。如图1013所示。图9 开始仿真按钮图10 仿真显示图11 仿真显示图12仿真显示图13 仿真显示四、课程设计体会及合理化建议通过这次的Proteus仿真实验课设,增强了我的实际动手能力,也让我对单片机的学习更加的有兴趣;在这次课程设计,在老师的耐心指教和与同学之间的讨论的情况下勉强做完,让我体会到实践是检验真理的唯一标准的道理,在往后的日子里,我会更加的努力去学习好该学习的东西,争取做到更好,更完美。五、流程图1、 主程序流程图图14 主程序流程图2、 中断流程图图15 中断流程图五、参考文献1谢兴红 .Msc-51单片机基础与实践,M北京航空航天大学出版社,200

6、8年一月.2周立功, 单片机试验与实践,M北京航空航天大学出版社,2006年十二月.3冯博琴 ,微型计算机原理与技术接口,M清华大学出版社, 2002年.4林全新,苏丽娟.单片机原理及接口技术,M人民邮电出版社, 2002年.表1:元件清单元件库件数51单片机AT89C511电阻RES3电解电容CRYSTAL1控制开关BUTTOU2LED数码管7SEG-MPX1-CA1附录I 原理图附录II、程序说明*include<reg52.h>unsigned char code led =0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0

7、x88,0x83,0xC6,0xA1,0x86,0x8E;int count=0,licheng=0,sudu=0,ge,shi,bai,qian,m; sbit xuanzhe=P10;void delay()int i,j;for(i=80;i>0;i-)for(j=10;j>0;j-); void display()count=count*0.001;qian=count/1000;bai=count%1000/100;shi=count%100/10;ge=count%10;P0=ledqian;P2=0x01;delay();P2=0x00;P0=ledbai;P2=0x02;delay();P2=0x00;P0=ledshi;P2=0x04;delay();P2=0x00;P0=ledge;P2=0x08;delay();P2=0x00; void main()TMOD=0x01;TH0=(65536-50000)/256;/50msTL0=(65536-50000)%256;ET0=1;TR0=1;EX0=1;EA=1;while(1)if(xuanzhe=0)count=licheng;elsecount=sudu;display(); void timer0() interrupt 1T

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论