课程设计报告四人抢答器_第1页
课程设计报告四人抢答器_第2页
课程设计报告四人抢答器_第3页
课程设计报告四人抢答器_第4页
课程设计报告四人抢答器_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、课程设计报告设计题目 四人抢答器 专 业 * 班 级 * 姓 名 * 学 号 * 指导教师 * 提交日期 * 摘要在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器

2、上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。关键字 抢答电路 定时电路 报警电路 时序控制目录 摘要······································&#

3、183;·················································&#

4、183;·················1第1章 概述 ·······························

5、··················································

6、········3第2章 课程设计任务及要求 ·······································

7、83;····················4 2.1 设计任务 ···························

8、3;·················································

9、3;·4 2.2 设计要求 ···············································

10、;································4第3章 系统设计 ················&

11、#183;·················································&

12、#183;···········5 3.1设计方案 ····································

13、83;···········································5 3.2 系统设计 ····

14、3;·················································

15、3;··························5 结构框图及说明 ······················

16、···································5 系统原理图及工作原理 ·············

17、;································6 3.3单元电路设计················

18、··················································

19、··········7 第4章 软件仿真 ······································&

20、#183;··········································12 4.1 仿真电路图 ·····

21、··················································

22、·······················12 4.2 仿真过程 ·························

23、··················································

24、·······12 4.3 仿真结果 ·········································

25、·········································14第5章 安装调试 ·······&

26、#183;·················································&

27、#183;·······················14 5.1 安装调试过程 ························

28、;··················································

29、;145.2 故障分析 ················································

30、··································15第6章 心得体会 ··············&

31、#183;·················································&

32、#183;·················16附录 使用元件清单 ······························&

33、#183;···············································18参考文献·&

34、#183;·················································&

35、#183;·····································18第1章 概述随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐

36、的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所

37、以智力竞赛抢答器的设计就有数字电路来控制。第2章 课程设计任务及要求2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能:(1)设计4组参赛的抢答器,每组设置一个抢答按钮。(2) 给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。(3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。(4) 抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。2.2 设计要求1.分析设计任务,拟定多种设计方案,根据当时的制作条件,选定其中的一种方案绘制设计系统框图和设计流程。2.

38、设计各部分单元电路图(或VHDL)描述。计算参数,选定元器件型号、确定数量,提出元件清单。3.安装、调试硬件电路,或制作以FPGA/CPLD为基础的专用集成电路芯片ASIC。4.电路测试、分析所要求的各项功能和指标,或对VHDL描述的电路作功能仿真和时序仿真,对ASIC芯片作脱机运行。5.运行制作的硬件电路,操作各项设计功能是否正常稳定,交验并演示所设计制作的电路装置6 总结设计中各主要环节的资料,整理打印出规范的设计报告。第3章 系统设计3.1设计方案该电路由抢答模块,锁存模块,定时模块,报警模块组成。抢答模块:由5个按钮组成,包括4个选手按钮和1个主持人按钮。当主持人按钮为低电平时,进行电

39、路清零;为高电平时,选手开始抢答。当第一个选手抢到题后,发光二极管亮,显示其编号,并且扬声器响。锁存模块:当第一个选手抢到题后,若再有选手按按钮,不再识别其抢答信号。定时模块:主持人发出抢答信号后,电路进行自动计时,规定选手抢答在一定时间内完成。报警模块:与定时模块共同作用,如给定时间内无人抢答,扬声器响应报警,该题作废,进行下一题抢答。3.2 系统设计 结构框图及说明 分析各项设计要求后,可绘制如下系统原理框图结构图说明第一信号鉴别电路是抢答器的关键电路,其任务是鉴别并锁存第一抢答者的信号,这类电路可以选用各种触发器、锁存器构成,也可用VHDL语言语言自己编写。其他模块的功能如前面所述,这里

40、不再重复。 系统原理图及工作原理 工作原理:主持人按钮(Q0)拨向低电平,U1,U2的清零端CLR为低电平,实现电路清零,当主持人按钮拨向高电平,CLR高电平无效,此刻抢答开始,由于Q1Q4原始状态为高电平,使得四输入与门输出为高电平,cp=1,不能触发。 当第一个人按下抢答按钮,输入低电平,四输入与门电平值由1跳变为0,此刻cp=0,触发U1,使得U1输出端q=1,此后无论其他选手再按按钮,cp=0不变,实现对其他选手的锁存功能,U1输出q也一直保持为1,所以对于U2器件,只有一个脉冲触发,其输出q1q4仅为s0s1的第一个状态,在经U3器件进行转换后,由发光二极管输出(点亮第一个抢答选手对

41、应的发光二极管)。而答题计时部分,由U2的输出端alm=1使能U4,进行计时,计时输出由发光二极管显示。当在规定的时间没有答题结束,则蜂鸣器发出报警声。3.3 单元电路设计(1). Catch 元件器件生成所使用的VHDL语言:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity catch is Port(cp : in std_logic; clr : in std_logic; q :out std_logic);end ca

42、tch;architecture Behavioral of catch is beginprocess(cp,clr) begin if clr ='0' then q<='0' elsif cp'event and cp='0'then q<='1' end if;end process;end Behavioral;器件描述:clr:clr=0,清零,且q=0;clr=1,无效。cp:cp=1,q=0;cp=0,q=1;(2). Lock 元件器件生成所使用的VHDL语言:library IEEE;use

43、 IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity lock is Port(d1 : in std_logic; d2 : in std_logic; d3 : in std_logic; d4 : in std_logic; clk : in std_logic; clr : in std_logic; q1 : out std_logic; q2 : out std_logic; q3 : out std_logic; q4 : out std_logic

44、; alm : out std_logic);end lock;architecture Behavioral of lock isbeginprocess(clk)begin if clr='0' then -低电平有效 q1<='0' q2<='0' q3<='0' q4<='0' alm<='0' elsif clk'event and clk='1' then q1<=d1; q2<=d2; q3<=d3; q4<

45、=d4; alm<='1' end if;end process;end Behavioral; 器件描述:clk:当clk=0时,q1q4=0,alm=0;当clk=1时, q1<=d1;q2<=d2;q3<=d3;q4<=d4;alm=1;d1d4:d1d4=s1s4;(3). ch41a 元件器件生成所使用的VHDL语言:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity ch4

46、1a is Port(d1 : in std_logic; d2 : in std_logic; d3 : in std_logic; d4 : in std_logic; q : out std_logic_vector(3 downto 0);end ch41a;architecture Behavioral of ch41a isbegin process(d1,d2,d3,d4) variable tmp:std_logic_vector(3 downto 0); begin tmp:=d1&d2&d3&d4; case tmp is when "01

47、11"=>q<="0001" when "1011"=>q<="0010" when "1101"=>q<="0010" when "1110"=>q<="0100" when others=>q<="1111" end case; end process;end Behavioral;器件描述:该器件主要实现d1d4输入的转换功能,也就是将最原始的抢答输入进行转换,

48、通过该器件,将原始抢答时刻s1s4状态输出至发光二极管,也就最终实现谁先抢答,对应的发光二极管点亮。(4). Count 元件器件生成所使用的VHDL语言:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity count is Port(clk : in std_logic; en : in std_logic; h : out std_logic_vector(3 downto 0); l : out std_logic_vect

49、or(3 downto 0); sound : out std_logic);end count;architecture Behavioral of count isbegin process(clk,en) variable hh,ll:std_logic_vector(3 downto 0); begin if clk'event and clk='1' then if en='1' then if ll=0 and hh=0 then sound<='1' elsif ll=0 then ll:="1001&quo

50、t; hh:=hh-1; else ll:=ll-1; end if; else sound<='0' hh:="1001" ll:="1001" end if; end if; h<=hh; l<=ll; end process; end Behavioral;器件描述:该器件主要是一个计时器件,计时可以由主持人自己设定, h为高位,l为地位,由alm输入到使能端使能,开始计时,当外部来一个时钟脉冲,自减一,当倒计时结束时,sound=1,使得报警器响。第4章 软件仿真4.1 仿真电路图4.2 仿真过程编译无误之后,将

51、以上原理图生成VHDL语言,继而生成VHDTEST文件,将激励信号输入VHDTEST文件,进行仿真。激励信号:s0 <= '0's1 <= '1's2 <= '1's3 <= '1's4 <= '1'wait for 5ns;s0 <= '1'wait for 2ns;s1 <= '0'wait for 2ns;s2 <= '0'wait for 2ns;s3 <= '0'wait for 2ns;s4 <= '0'wait for 5ns;s0 <= '0's1 <= '1's2 <= '1's3 <= '1's4 <= '1'wait for 5ns;s0 <= '1'wait for 2ns;s2 <= '0'wait for 2ns;s1 <= '0'wait for 2ns;s3 <= '0'wait

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论